OSQZSS

オープンソース準天頂衛星(QZSS)受信機

DE0-NanoでuC/OS-IIを動かす

2012-03-20 15:08:13 | FPGA
以前,MicroC/OS-IIの良いチュートリアルがないなどと書いていましたが,
HirokiNakaharaOboe-TipsのRTOS入門を発見.
外部割込みでタスクを起動するサンプルまである.
すばらしい!これこそ求めていたものだ.

DE2のサンプルですが,Simさんのサンプルにsystem timerさえ追加すれば
動きそうな気がするので,早速挑戦.

まず,Componet LibraryのPeripheralsから,
Microcontroller Peripherals -> Interval Timerを追加します.



Timeout periodは1msに設定します.

(クリックで拡大)

外部割込みのサンプルも試してみたかったので,ボタンスイッチ用のPIOも追加しました.
KEY[0]はリセットボタンに使っているので,KEY[1]を外部割込みに使います.
オープンの状態でpull-upされているので,割り込みの設定をfalling edgeにします.

(クリックで拡大)

MicroC/OS-IIはNios II/eでは動作しませんので,fastに変更します.
勘違いでした.economyでも問題なく動作します.

(クリックで拡大)

最終的な接続は以下の通りです.
button_pio_0とtimer_0からは,Nios IIに割り込み信号が繋がっています.

(クリックで拡大)

DE0_Nano.vも,button_pio_0とKEY[1]が繋がるように修正します.



変更はこれで全部です.後は,論理合成をして,sofファイルをDE0-Nanoに書き込みます.

Nios II Software Build Tools for Eclipseでは,これまでと同じように,
FileメニューからNew -> Nios II Application and BSP from Templateを選びます.

SOPC Information File nameにsopcinfoファイルを読み込み,
TemplateにはHello MicroC/OS-IIを選びます.

(クリックで拡大)

Templateのhello_niosii.cでは,task1とtask2が実行される周期がどちらも
3秒に設定されています.そこで,task1の周期を1秒に変更して実行してみました.



結果は意図したとおりに,taks1が3回実行された後にtask2が実行されています.



さらに,HirokiNakaharaOboe-TipsのNios IIで割り込みを使うにはの記事を参考に,
外部割込みによるタスクの起動も動作を確認することができました.

ナイトライダーのように左右に点滅しながら動いているLEDが,
KEY[1]を押すと一瞬だけ全て点灯します.

DE0-Nanoのプロジェクトファイルをアップロードしておきますので,
興味のある方はお試しください.

http://www.sensorcomm.co.jp/osqzss/fpga/namuru/DE0_Nano_uCOS2.zip
コメント    この記事についてブログを書く
  • X
  • Facebookでシェアする
  • はてなブックマークに追加する
  • LINEでシェアする
« Nios IIの割り込み処理 | トップ | System IDのエラー »
最新の画像もっと見る

コメントを投稿

FPGA」カテゴリの最新記事