Nios II/fがweb editionのQuartus IIでも試用できることが判ったので,
Namuru移植版のNios IIもeconomyからfastに変更してみました.
コンパイルしてみると,critical warningが出現.
Critical Warning: Timing requirements are not met.
TimeQuestに関連した警告なのだろうとは思うのですが,
forumを漁っても明快な回答が見当たりません.
そこで,Nios II/fで問題なく動作しているChibiOSのSDCファイルから
関連しそうなラインをコピーしたところ,警告を消すことができました.
原理を理解していませんが,おまじないです.
create_clock -period 10MHz -name {altera_reserved_tck} {altera_reserved_tck}
set_false_path -from [get_clocks {altera_reserved_tck}] -to [get_clocks {altera_reserved_tck}]
コンパイルが無事に通ったので,Nios II Software Build Tools for Eclipseを
起動して,SimさんのLEDチカチカのサンプルを実行させてみたのですが,
なぜかLEDが点滅しない不具合まで発生.
PIO_0_BASEへのアクセスの仕方がSimさんの例ではダメなのかなと思い,
Alteraのサンプルに倣って,IOWR_ALTERA_AVALON_PIO_DATAマクロを
使ってみたところ,無事に点滅してくれました.
(クリックでソースを表示)
こちらも釈然としませんが,まあそういうものなのだろう…
おしえて!えらいひと
Namuru移植版のNios IIもeconomyからfastに変更してみました.
コンパイルしてみると,critical warningが出現.
Critical Warning: Timing requirements are not met.
TimeQuestに関連した警告なのだろうとは思うのですが,
forumを漁っても明快な回答が見当たりません.
そこで,Nios II/fで問題なく動作しているChibiOSのSDCファイルから
関連しそうなラインをコピーしたところ,警告を消すことができました.
原理を理解していませんが,おまじないです.
create_clock -period 10MHz -name {altera_reserved_tck} {altera_reserved_tck}
set_false_path -from [get_clocks {altera_reserved_tck}] -to [get_clocks {altera_reserved_tck}]
コンパイルが無事に通ったので,Nios II Software Build Tools for Eclipseを
起動して,SimさんのLEDチカチカのサンプルを実行させてみたのですが,
なぜかLEDが点滅しない不具合まで発生.
PIO_0_BASEへのアクセスの仕方がSimさんの例ではダメなのかなと思い,
Alteraのサンプルに倣って,IOWR_ALTERA_AVALON_PIO_DATAマクロを
使ってみたところ,無事に点滅してくれました.
![](https://blogimg.goo.ne.jp/user_image/1a/a4/4647a704a0a463b309b03642226002b0.png)
こちらも釈然としませんが,まあそういうものなのだろう…
おしえて!えらいひと
リンクのSimさんの例はNiosII/eでキャッシュなしなので普通にアクセスしてもOKです。
ちなみにNios II/fがweb editionのQuartus IIでも試用となっていますが、ライセンスの変更がなければ時間制限があったと思いますので、本当に試用でしか使えないと思います。
IOWRなんて,ただのマクロだろと甘く見ていました.
キャッシュをバイパスしてくれるのですね.
これですっきりしました.
Nios II/fの試用は,コンパイル時にはプログラムしてから1時間とメッセージがでますが,
プログラマではTime remaining: unlimitedになっています.
いずれにしても,開発に使うには充分かなと思っています.