OSQZSS

オープンソース準天頂衛星(QZSS)受信機

Nios II/fでLEDチカチカ

2012-03-03 16:56:57 | FPGA
Nios II/fがweb editionのQuartus IIでも試用できることが判ったので,
Namuru移植版のNios IIもeconomyからfastに変更してみました.

コンパイルしてみると,critical warningが出現.

Critical Warning: Timing requirements are not met.

TimeQuestに関連した警告なのだろうとは思うのですが,
forumを漁っても明快な回答が見当たりません.

そこで,Nios II/fで問題なく動作しているChibiOSのSDCファイルから
関連しそうなラインをコピーしたところ,警告を消すことができました.

原理を理解していませんが,おまじないです.

create_clock -period 10MHz -name {altera_reserved_tck} {altera_reserved_tck}
set_false_path -from [get_clocks {altera_reserved_tck}] -to [get_clocks {altera_reserved_tck}]


コンパイルが無事に通ったので,Nios II Software Build Tools for Eclipseを
起動して,SimさんのLEDチカチカのサンプルを実行させてみたのですが,
なぜかLEDが点滅しない不具合まで発生.

PIO_0_BASEへのアクセスの仕方がSimさんの例ではダメなのかなと思い,
Alteraのサンプルに倣って,IOWR_ALTERA_AVALON_PIO_DATAマクロを
使ってみたところ,無事に点滅してくれました.

(クリックでソースを表示)

こちらも釈然としませんが,まあそういうものなのだろう…
おしえて!えらいひと
コメント (2)    この記事についてブログを書く
  • X
  • Facebookでシェアする
  • はてなブックマークに追加する
  • LINEでシェアする
« ChibiOS/RT for DE0-Nano | トップ | チャンネル間バイアス »
最新の画像もっと見る

2 コメント

コメント日が  古い順  |   新しい順
Unknown (kou)
2012-03-03 19:11:19
Nios II/fは、データキャッシュを持てるので、IOアクセス時キャッシュをバイパスさせるためにIORD,IOWR等を使用することになります。
リンクのSimさんの例はNiosII/eでキャッシュなしなので普通にアクセスしてもOKです。
ちなみにNios II/fがweb editionのQuartus IIでも試用となっていますが、ライセンスの変更がなければ時間制限があったと思いますので、本当に試用でしか使えないと思います。
返信する
Re: Unknown (osqzss)
2012-03-03 19:29:41
早速の情報,ありがとうございます.
IOWRなんて,ただのマクロだろと甘く見ていました.
キャッシュをバイパスしてくれるのですね.
これですっきりしました.

Nios II/fの試用は,コンパイル時にはプログラムしてから1時間とメッセージがでますが,
プログラマではTime remaining: unlimitedになっています.
いずれにしても,開発に使うには充分かなと思っています.
返信する

コメントを投稿

FPGA」カテゴリの最新記事