ikkei blog

電子工作やパズルのブログです。主にLEDを使った電子工作をやっています。

餞別が届いた

2011年03月31日 02時41分41秒 | 中国語
3月12日に、中国語ドットコムのメンバーで、送別会が予定されていたのですが、
震災によって中止になってしまいました。
Linさんからそのときの餞別が送られてきました。





Yeyeさんからはトリュフチョコ。
Linさんからは、マーブルチョコ  ではなくパズルでした。
チャオベンさんからは、「ふりむけば中国語」という相原先生のエッセイ集です。


中には、なんと相原先生のサインが入っていました!


もちろん、パズルはさっそくやってみました。


カレンダーは、日本の西暦と中国の旧暦の両方が書かれています。


みなさん、色々どうもありがとうございました。

大阪に戻りました

2011年03月31日 02時18分21秒 | その他
引っ越しの日、道を間違ったりして、引っ越しのトラックの来るのが遅く、5:20に到着。
ダンボール箱にナンバリングしながら、次々積み込んでいきます。
2mくらいあるソファーベッドもエアーキャップ(いわゆるプチプチ)で丸ごと梱包します。
イスも机もエアーキャップで包んだあと、段ボール紙で梱包します。
なんと、スーツケースまで梱包してしまうんですね。
そんなこんなで、2時間で積み込みは完了。
現金で支払いのあと、ゴミ出しなどしていると8時過ぎになってしまいました。

このまま何も無い部屋で朝まで居るのもつまらないし、
まだ、最終には間に合いそうだったので、急いで新横浜に向かいました。
新幹線はEX予約をするのですが、途中で新横浜に着いてしまい、
駅のWiFiによって接続が切れてしまいました。
mobilepointに接続するのも手間なので、3Gで接続しようとするのですが、
続きが出来ません。始めからやり直そうとしても、うまくいきません。
焦りまくって操作する内、再エントリーすれば良いと分かって、やり直します。
それでもなんとか予約が完了しました。20:43です。

端末で発券して、改札へ。
シウマイ弁を買ったりして20:49の新幹線に乗り込みました。
家にたどり着いたら日が変わっていましたが、なんとか帰れました。


パズル懇話会

2011年03月19日 23時35分30秒 | パズル
今日はパズル懇話会の例会へ行ってきました。
参加出来るのも、今回が最後になると思います。
餞別でもらったのがこれです。

新大阪行きのN700系のぞみです。え、なぜ新大阪行きかって?
「この電車はのぞみ号新大阪行きです。」とアナウンスが流れるのです。

それと、個人情報保護スタンプです。

これは阪急電車です。線路の絵柄でスタンプされるのです。


本日買ったパズルはこれです。

クルミの知恵の輪は私がアンマ機で作ったフォレストパズルと同じ作者なのです。




さっそく、外してみました。

16 segment LED 小型版 青 その3

2011年03月15日 23時47分57秒 | Arduino
実は、電圧のかさ上げ方式では、コンデンサにチャージする電圧に限度があります。
LED1個のセグメントが有るので、チャージした電圧がVfを超えると関係ないセグメントが光ってしまいます。
前回の写真にはその様子が映っていました。
ダイオードでチャージする電圧を下げるとこのようになります。


16 segment LED 小型版 青 その2

2011年03月13日 02時10分47秒 | Arduino
16 segment LED 小型版の青ですが、先日Vfを測ったところ、
5.6Vだったので、今までのやり方では点灯出来ません。
シリパラ変換にLEDドライバを付ける従来のやり方なら全然問題無い訳ですが、
やはり、5Vでの点灯を目指すことにします。

前回の緑でもコモン端子にトランジスタなどを追加し、
5V以上の電圧を掛ける回路もいろいろ考えて試してみたのですが、Vfの違いにたどり着きました。
結局Charlieplexingに使える良い手は無かったのです。

今回、5V点灯を目指すことにしたのは、
Charlieplexingに使えそうな、違う手を思い付いたからです。
それを確かめるために、まず緑の回路で、コモン端子に電池を直列に入れます。
とりあえず、先頭桁だけに入れてみます。

そうです。電圧のかさ上げをしようと言うわけです。

どうでしょう?「J]だけ他より明るく見えませんか?


全点灯ではどうでしょう?

大成功です。なんか、うまくいきそうです。

と、言っても電池を6個追加する訳ではありません。
コンデンサを電池代わりにするのです。そうです。いわゆるブートストラップ回路です。

コンデンサの値はオシロで波形を見ながら実験で決めました。数十μFあればいけそうです。
ダイナミックスキャンのタイムスロットを1つ追加し、そのタイミングで充電します。
そのため、ポートを1つ追加使用します。
また、短時間で充電出来るように、トランジスタを追加します。

出来上がったのがこれです。4.5Vで点灯しています。

青色はなかなかきれいです。

全点灯はこれです。

輝度ムラは、ポート出力をC言語で1ポートずつ開いているからなので、
8x8ドットと同じようにポートを直接叩くように修正するつもりです。

それより、この全点灯は、何かおかしい。
そうです。小数点が点いていません。
改めてデータシートを見ると1,13はNCになっています。
やられました。いかにも点灯しそうなのに、LEDそのものの実装が無いとは。
さすが香港のメーカーです。