Sim's blog

電子工作はじめてみました

なひたふさんのxp2jtag

2009-04-15 00:16:03 | FPGA
なひたふさんがLatticeXP2付録基板の書き込みプログラムxp2jtagを公開されています。xp2jtagのすごいところは、ザイリンクスやアルテラのJTAGケーブルを使って書き込みができることと、トラ技の78K0基板を使った書き込みができることです。

なひたふさんの記事
デジタル・デザイン・テクノロジの基板に書き込み成功
LATTICE XP2用JTAGプログラマをリリースしました
Lattice XP2のC-SRAM書き込みモードに対応しました

ダウンロードページからダウンロードして、ドライバをインストールしてから、コマンド一発で書き込みできます。私が試したのはParallel Cable III互換のDigilentのザイリンクス用JTAGケーブルです。mitoujtagが既にいるので、一旦ドライバをアンインストールしないと新しいドライバをインストールできませんでした(instdrv -u)。

まだ、論理合成ツールをインストールしていないので、ディジタル・デザイン・テクノロジのDVDに入っていたhdl_example.jedを書き込みました。

噂の三三七拍子でLEDが点滅します。

xp2jtagがあればLattice用のJTAGケーブルを作る必要がなくなりました。

最新の画像もっと見る

8 コメント

コメント日が  古い順  |   新しい順
これはすばらしいですね (ぷるぷる)
2009-04-15 01:34:53
ぷるぷるです。
これはすばらしいですね。
はやくlatticeのアカウントをとって活用せねば
dwm2007/7ではjtagケーブルを用意できなくて実際に動かすまで三ヶ月くらいかかったのでこれはほんとうにありがたいです。
返信する
Unknown (marsee)
2009-04-15 21:44:39
おめでとうございます。
パラレルケーブルが私のパソコンにはつなげないのが残念です。
返信する
QL12X16B? (ノンノ)
2009-04-16 14:00:16
手元にクイックロジック「QL12X16B」が有るのですが
LatticeXP2 と比較してどちらがどうなのか判りませんでしょうか?
返信する
re:これはすばらしいですね (Sim)
2009-04-16 22:26:14
こんにちは、ぷるぷるさん
手持ちのケーブルで遊べるのがいいです。なひたふさんに大感謝です。
返信する
re:Unknown (Sim)
2009-04-16 22:32:02
こんにちは、marseeさん
ありがとうございます。JTAGチェーンを認識できずに手間取っていましたが、そこから先はさくっといきました。

パラレルケーブルですが、PCI接続のパラレルポート増設ボードがあるようです。
http://www.kuroutoshikou.com/modules/display/?iid=1217
とかです。amazonで2049円でした。ポート番号等、確実に使えるかどうかは不明ですが、ご参考まで
返信する
re:QL12X16B? (Sim)
2009-04-16 22:33:08
こんにちは、ノンノさん
不勉強でクイックロジックのことは知りませんでした。ぐぐってデータシートを見てみましたが、高速なCPLDという感じがしました。
返信する
QLとLattice (ノンノ)
2009-04-16 23:53:44
QLのは
デバイス システム ロジック 最大  最大 RAM    電源 RAM
     ゲート  セル   FF数 I/O モジュール 電圧 ビット
QL12x16B 6,608   192    280   88  N/A    5.0V N/Aとなっていました。

LatticeXP2は
LUT 数 5
分散 RAM (Kbits) 10
EBR SRAM (Kbits) 166
EBR SRAM ブロック数 9
sysDSP ブロック数 3
18x18 乗算器数 12
PLL 数 2
最大ユーザI/O数 172

となっています、
RAM,PLL,DSPなんかが違うようで
単純には比較できないようですが、
最新投入と旧型の違いでしょう。
返信する
re:QLとLattice (Sim)
2009-04-18 14:56:55
こんにちは、ノンノさん
今回の付録のFPGAは20万ゲート相当くらいでしょうから、規模的に数10倍くらいになりそうです。
電源電圧が5Vというのも最近だとは少ないですね。
返信する

コメントを投稿