Sim's blog

電子工作はじめてみました

10月30日(日)のつぶやき

2011-10-31 01:05:34 | Twitter
00:09 from Echofon
RT @morecat_lab: attiny10で作成したサイモンゲーム。 http://t.co/W8RkibJG
avr-as アセンブラでかいてある。ATMELのフォーマットと互換性がないが、cppも使えるのでこの方法が良さそう。
00:18 from Echofon
信用できないメディアはと聞かれたら、間違いなくTVと答える
05:21 from Echofon
900円とはずいぶん安いな。センサー - 放射線モニターきっと http://t.co/DvJfXfzM
15:02 from Echofon
笑った。「暴力ゲームなんてやってるから本当に暴力犯罪に走る」 「恋愛ゲームなんてやってるから本当の恋愛が出来なくなる」
15:03 from Echofon
ママたちの好きな理想の人生を送るゲームとか作って売ればPTA公認でうはうはになれるのか?
23:13 from Echofon
この世界線は何かが間違っている・・・
by Sim0000 on Twitter

10月28日(金)のつぶやき

2011-10-29 01:05:56 | Twitter
02:21 from Echofon
ぐわっ RT: @watch_akiba: ラジオ会館の最上階に激突した人工衛星の模型を設置中。 #akiba http://t.co/Dc4JEp6Y
02:23 from Echofon
2次元の世界が3次元化しているw
10:57 from Echofon
「国というものは、こうやって衰退していくのだ。」そうなんだ。 米国丸儲けの米韓FTAから なぜ日本は学ばないのか 「TPP亡国論」著者が最後の警告! ダイヤモンド・オンライン http://t.co/Xy16K6hT
13:20 from Echofon
うまくいってたつもりのシミュレーションがやっぱりだめだった件・・・
17:33 from Echofon
それは人工衛星ではなく、タ・・・。おや、こんな時間に誰か来たようだ
23:02 from Echofon
TI、産業用オートメーション向け高性能・高拡張性のマルチコアDSPを発表 | エンタープライズ | マイコミジャーナル http://t.co/7x8v0KqX
23:39 from Echofon
こういうパッケージもいいね。NXP、Cortex-M0マイコンファミリにTSSOP/SOパッケージをオプションで追加 | マイコミジャーナル http://t.co/4B1uNZbu
by Sim0000 on Twitter

10月27日(木)のつぶやき

2011-10-28 01:05:51 | Twitter
00:55 from モバツイ / www.movatwi.jp
カラオケ屋から出たらこんな時間だ
00:58 from モバツイ / www.movatwi.jp
とりあえず、酔っ払いなう
01:00 from モバツイ / www.movatwi.jp
なんか、人生ぐちゃぐちゃだな。はんだの煙に癒やされたい
17:41 from Echofon
今年のETは行けないな・・・
20:50 from Echofon
これはすごいな。【邦楽BadApple!!】傷林果 ‐ ニコニコ動画(原宿) http://t.co/SuhJgy5k
20:53 from Echofon
1ドル75.94円だ
21:16 from Echofon
RT @horothewolf: 2SC1815の無い東芝なんて存在価値が無いじゃないか(>_<)
21:19 from Echofon
RT @eetimes_jp: 模倣半導体が出回っている! ルネサスが注意を呼び掛け→http://t.co/fMlJKeVT
半導体の流通経路に流れ込む偽造品が急増中だ。国内大手のルネサス エレクトロニクスも、自社製品の模倣品が市場に流通しているのを確認したとして、ユー ...
22:58 from Echofon
RT @marsee101: ステレオカメラボード、できましたけど、頒布したら誰か入りますか?Spartan-3A Starter Kit用、aitendoのOV7670カメラモジュールが2,980円X2なので、それだけで6,000円くらいになります。 http://t. ...
by Sim0000 on Twitter

10月26日(水)のつぶやき

2011-10-27 01:06:55 | Twitter
12:39 from Echofon
安価バージョンということみたいですね RT: @arms22: mbed M0のページ、M0にピン配は今のmbedと同じなのかな? > m0 release http://t.co/XPFcMbPf
13:25 from Echofon
怪盗ジバゴとか好きだったな。ご冥福をお祈りします。作家・北杜夫さん 死去 NHKニュース http://t.co/PnlLgt3k
13:32 from Echofon
今月のInterfaceはMATLABの特集だ。 Interface http://t.co/D2y5bx8F
13:38 from Echofon
CQのガイガー・カウンター・パーツ・セットって写真を見た感じ、昇圧はコックロフトなのかな http://t.co/IBVm8DfU
13:45 from Echofon
Visual Studio 2010をSP1にアップグレード中
by Sim0000 on Twitter

10月25日(火)のつぶやき

2011-10-26 01:05:29 | Twitter
04:13 from Echofon
ストロベリーリナックスから赤青緑の3種類の16セグLEDが販売になっている。【青色】1インチ16セグメントLED http://t.co/0UFgXI9G
04:24 from Echofon (Re: @digikey
@digikey many commercially available
04:26 from Echofon
AT90USBKEYは、メーカー型番がAT90USBKEY2になっていてdigikeyで入手可能 http://t.co/DYkEniBS
04:27 from Echofon
そんなに売れそうな製品でもなかったのにPS3騒ぎのときに一気に入手困難になっていた>AT90USBKEY
05:40 from Echofon
STM32F4DISCOVERYって、digikeyだと1649円だ。安すぎだろう http://t.co/0fxplA9G
07:07 from Echofon
えっ?LISPのお父さん?ご冥福をお祈りします。 RT: @morecat_lab: John McCarthyが亡くなったのか。。
07:11 from Echofon
TPPがいいことなのか悪いことなのか判断できない
08:37 from Echofon
10月になってからジョブス、リッチーときてマッカーシーか。なんか呪われた月だ
20:56 from Echofon
ねむい、かえる
22:16 from Echofon
FPGAボードが到着した
22:56 from Echofon
これは分かりやすいですね。ありがとうございます。 RT: @amabile4 TPP反対派の意見は見つかるんだけど、賛成派の意見が見つからない罠。 http://t.co/q09cm0ta
22:59 from Echofon
あはは、こりゃすごい RT: @felis_silv: RGB 7セグLED・・・って、めちゃくちゃ強引な!! >http://t.co/wbIyKHsU
23:32 from Tweet Button
なんかイヤな名前だw
Sim0000「ここで働かせてください!」湯婆婆「ふん【Sim0000】というのかい。ぜいたくな名だね。今から お前の名前は【ひもパン野郎】だよ」 http://t.co/BujbBONZ #yubaba
23:34 from Echofon
RT @Liquid_Fenrir: RT @aitendo: 昇圧モジュール http://t.co/BjhcTIVz
by Sim0000 on Twitter

10月24日(月)のつぶやき

2011-10-25 01:05:46 | Twitter
12:31 from Echofon
PCの中に古いJavaがわんさかいた。とりあえず削除してみた。
14:16 from モバツイ / www.movatwi.jp
RT @SJN_News: ルネサス、新タイプのアナログ製品群「Smart Analog」を展開。アナログ回路の構成や特性をマイコンからの制御で変更可能。専用ICの開発が不要に (発表資料)http://t.co/kmHMdp9y http://t.co/kLY7iqX4
14:19 from モバツイ / www.movatwi.jp
逆向きの電車に衝動的に乗りたくなるなど
14:22 from モバツイ / www.movatwi.jp
なんか疲れが抜けないとか思ったけど、昨日遊びにいったせいだなw
18:38 from モバツイ / www.movatwi.jp
電車に乗っていると反射的に眠くなってくる
18:52 from モバツイ / www.movatwi.jp
おっと、乗り過ごすところだった
18:53 from モバツイ / www.movatwi.jp
元号なんてやめればいいのに
19:07 from Echofon
このソフト、メッセージが全部ドイツ語でさっぱり分からない。しおしおでござるw
20:21 from Tweet Button
(8 + 2) ^ (9 - 3 - 3) Sim0000への問題。 3 9 2 8 3を組み合わせて1000を作れ。 http://t.co/yuhFtPr5
20:29 from Echofon
誰も見れないようにするのが目的か。著作権を守る一方で原盤を廃棄しているCM業界 - 月明飛錫 - BLOGOS(ブロゴス) http://t.co/18uKpEjD
by Sim0000 on Twitter

10月23日(日)のつぶやき

2011-10-24 01:05:07 | Twitter
09:03 from Echofon
今日はニコニコ技術文化祭の最終日だ。 ニコニコ技術文化祭'11 - PukiWiki http://t.co/2mR4DOby
09:06 from Echofon
東工大側の案内  工大祭2011公式サイト http://t.co/lVjL1xom
09:11 from Echofon
川崎からだと、川崎-大井町-大岡山という経路と川崎-武蔵小杉-大岡山という経路があるみたいだ
09:58 from Echofon (Re: @akiyoshi_kamide
@akiyoshi_kamide 南武線の快速に乗れれば微妙に武蔵小杉が早くなるみたいです。数分差なので待ち合わせ時間で逆転したりするみたいです。
10:01 from Tweet Button
(9+1)^(8-4-0!)、結局10^3作る Sim0000への問題。 9 0 4 1 8を組み合わせて1000を作れ。 http://t.co/yuhFtPr5
10:03 from Echofon
結局10^3とか√10^6くらいしかないから1000を作ったーはバリエーションが少なくておもしろくない。10を作ったーの方がおもしろいな
12:01 from モバツイ / www.movatwi.jp
大岡山なう
12:59 from モバツイ / www.movatwi.jp
ニコ技の展示は一通り見学してきた
13:48 from モバツイ / www.movatwi.jp
@aitch_two 数字をならべるのは駄目みたいです
13:49 from モバツイ / www.movatwi.jp
目黒から山手線に乗った
14:06 from モバツイ / www.movatwi.jp
秋葉なう
15:16 from モバツイ / www.movatwi.jp
秋月で米粒avrとDC変換を購入
15:40 from モバツイ / www.movatwi.jp
秋月店頭にAT90usbkeyがジャンク扱いで販売されていた
15:51 from モバツイ / www.movatwi.jp
秋月だとmbedは5200円で販売されている
16:19 from モバツイ / www.movatwi.jp
さてと帰るか
19:40 from Echofon
今日はPSoCerの人がアツかった。PSoCの昇圧でLCDバックライト動かしてた。
by Sim0000 on Twitter

10月22日(土)のつぶやき

2011-10-23 01:05:18 | Twitter
00:22 from Echofon
フルメタのアニメを見終わった。せっかく敵が出てきたのに続きはないのか・・・
00:27 from Echofon
オクで中華製FPGAボードをおとした。Spartan3の400 http://t.co/72ATdlZR
00:57 from Echofon (Re: @_K4ZUKI_
@_K4ZUKI_ 続きを楽しみたければ原作をあたらないといけないみたいですね
01:46 from Echofon (Re: @ozakit1988xyz
@ozakit1988xyz TSRのOVAまで見た
09:53 from Echofon
うーむ、コロコロが壊れた
09:53 from Echofon (Re: @ozakit1988xyz
@ozakit1988xyz 機会があったら貸してください
10:38 from Echofon
タイの洪水のせいでハードディスクの値段があがっているらしい。知らなかったけど世界中のかなりのハードディスク生産はタイの工場でやっているらしい
12:44 from Echofon
安易な増税、景気後退、円高
21:32 from Tweet Button
7 + (9 + 9) / 6 Sim0000への問題。7 9 6 9を組み合わせて10を作れ。 http://t.co/sPcrT41R
21:34 from Tweet Button
√(2 + 8) ^ (2 + 9 - 5) Sim0000への問題。 2 2 8 9 5を組み合わせて1000を作れ。 http://t.co/yuhFtPr5
23:29 from Echofon
知多半島が始まったらしい。知多娘。公式WEBサイト http://t.co/fj6gvXfS
23:58 from Echofon
めずらしい RT: @Nabe_RMC: 二十年を超えて気付いた真実!? 「しんえつ」じゃなくて「しんえちゅ」だったのか? アキバ80's 基板 「SHINETHU DENKISHOKAI」、「ADO DENSHI」、「信越電機商会」 http://t.co/Du5PBEZq
by Sim0000 on Twitter

10月21日(金)のつぶやき

2011-10-22 01:05:23 | Twitter
11:53 from Echofon
おお、ほしいかも RT: @tokoya: 米粒AVR入り電子ブロックを学研が出せばいいのに(笑)。
11:54 from Echofon
RT @ssci_official: フリスク基板は約1000個の在庫が先ほど無くなり、プレゼントは終了しました。たくさんのご注文ありがとうございました! http://t.co/qV9brcY6
13:32 from Echofon
鏝の漢字と鰻の漢字は形が似ている。
13:36 from Echofon
RT @chobichan: 安い!強力! @himamura: 安い!2,100円!:Cortex-M4を使用したSTM32マイコンのボード。LIS302DL(加速度センサ),MP45DT02(マイクロフォン),CS43L22(オーディオDAC+D級アンプ)も搭載! h ...
14:14 from Echofon
ニコニコ技術文化祭'11は10/22,23、場所は東工大 http://t.co/2mR4DOby
by Sim0000 on Twitter

10月20日(木)のつぶやき

2011-10-21 01:06:24 | Twitter
09:32 from Echofon
eleki-jack,comは見れないままだ
20:05 from Echofon
オニ、アクマっ
20:16 from Echofon (Re: @chobichan
@chobichan @n24bass http://t.co/nTfjHOnK復活してます
22:29 from Echofon
すでにナショセミがTIになっている罠・・・
22:31 from Echofon
たしかに決まるまでのスピードを見ると政府がどちらを重要視しているかが分かるな。韓国支援と被災地復興w
22:37 from Echofon
なんか、ほほえましい RT: @NakajinHimajin: iPhone4Sを買ってはしゃぎまくる釈由美子
http://t.co/dh9yItGP
翌日
http://t.co/yewSVf79
ワロタwww
22:42 from Echofon
9月50日も終わりかけている
by Sim0000 on Twitter

10月19日(水)のつぶやき

2011-10-20 01:05:18 | Twitter
17:36 from Echofon
RT @marsee101: XilinxのIPのCOEファイルのパスは絶対パスだった。。。パソコンをリプレースして、ドライブが変わったら、COEファイルが無いと言われて、Coregenが立ち上がらない。。。作りなおすしかないか???ISE12.4。 ISE13はエラーだ ...
18:23 from Echofon
おなかすいた
21:04 from Echofon
CQのエレキジャックのサイトがexpireになっている。
22:00 from Echofon
ねむい
by Sim0000 on Twitter

10月18日(火)のつぶやき

2011-10-19 01:05:14 | Twitter
00:29 from Echofon
SRAMとかSDRAMが載ったFPGAボード XILINX Spartan3 XC3S400 FPGA development board http://t.co/rJyzav4R
00:56 from Echofon
日昇テクノロジーで売っているボードだったか Xilinx SP3S400開発ボード 日昇テクノロジー通販 http://t.co/PIYpLJSe
01:10 from Echofon
いろんなFPGAボードがあるな。ほとんど中華製かも http://t.co/zCWGLU7L
01:31 from Echofon
Spartan-3E搭載のDIP型FPGAモジュール EFM01 FPGA module with Spartan-3E XC3S500E-4CPG132C and FX-2 USB controller http://t.co/F3zMly9T
01:34 from Echofon
あう、一見DIP型だがブレッドボードはだめか。ピンが2列だ
01:40 from Echofon
これはインド製FPGAボードということなのか。 Spartan3 EDK- Tyro Plus http://t.co/dinvidNV
13:06 from モバツイ / www.movatwi.jp
京浜東北線なう
by Sim0000 on Twitter

10月17日(月)のつぶやき

2011-10-18 01:05:10 | Twitter
00:46 from Echofon
右クリックメニューに出てほしいくらい RT: @shikataka: Windowsの右クリック→送るに「一つ上のフォルダへ」がほしい
00:54 from Echofon
計算に1年かかったってことかな。長野男性、円周率で10兆桁達成 自作パソコンで - 47NEWS(よんななニュース) http://t.co/y22bKetZ
13:27 from Echofon
ウォール街デモが世界に拡散しているということだが、日本では海外ほど銀行員とそれ以外の人の給与格差があるようには思えない。
13:29 from Echofon
共立エレショップにもDE0 nanoが入荷している 【共立エレショップ】 http://t.co/nYop5elQ
13:32 from Echofon
共立エレショップにもSpartan-6 FPGA LX9 MicroBoardが入荷している 【共立エレショップ】 http://t.co/tHnNDzQ4
15:20 from Echofon (Re: @keytan
@keytan 情報ありがとうございます。ウォール街デモの対象になっているトレーダーさん達はこんなものではないんでしょうね。
15:25 from Echofon
CodeSourceryってMentorGraphicsに買収されたのか。昔のサイトに行くと飛ばされる。 http://t.co/kBMdeAb4
15:38 from Echofon
サンハヤトのArduino用ユニバーサル基板。シールド基板ではないということなのかな。 UB-ARD03 http://t.co/ALKBpvhW
15:40 from Echofon
サンハヤトのArduino用LCDシールド AS-E401 http://t.co/SoTgEo12
18:19 from Echofon
RT @Bonezine: 市販品だけでここまで実現できる時代になったか…8bitPCで必死こいてた時代が懐かしい / 日々是遊戯:ルービックキューブを瞬時にそろえる驚異のロボット。記録はなんと5.35秒! - ねとらぼ http://t.co/1rAHhFaW
18:20 from Echofon
せめてdigikeyで買えるようになってほしい。DSO Quadで使ってるFPGA。 RT: @cronos_sv: http://t.co/nmaez0j4 8kLE相当が4ドルだと??
18:30 from Echofon
そんな罠もあったんですね>< RT: @cronos_sv 売っていても、無償ツールのリリース予定は無くて、有償20万 オンリーだってのが厳しいですねぇ。
23:28 from Echofon
宇宙船ビーグル号の冒険、武器店シリーズ、非Aシリーズで有名なSF作家のA.E.ヴァン・ヴォークト。非Aシリーズの第三作は日本では未翻訳であることを知る。
23:29 from Echofon
おっと、スランも忘れてはいけない
23:29 from Echofon
非Aシリーズの第3作のタイトルはNull-A Threeで1984年に刊行されたらしい。
by Sim0000 on Twitter