Sim's blog

電子工作はじめてみました

ノキア液晶5110

2008-08-12 20:03:30 | V850
先日CoCoNET液晶工房で買ってきた「ノキア液晶5110(加工済み)[M-LCD5110-PCB]」をInterface付録のV850基板につなげてみました。

その昔、東京ラジオデパートのATラボで買ってきたのと、中身は一緒(PCD8544)なことに気づいて、ATラボさんから頂いたサンプルプログラムを動かしました。

そもそもどっちが上か、さっぱり分かっていませんでしたが、実はふちの広い方が上でした(CoCoNetの写真も全部逆さま?)。

ぐぐって見るとトラ技2006年3月号に、この液晶の記事が載っていたみたいです。ソースプログラムのダウンロードもできます。

動作電圧ですが、データシートをながめた感じでは、2.7-3.3Vで動作させるのがよさそうです。

Interface 6月号

2008-04-27 13:25:32 | V850
特集は先月号のFR60付録基板の続きです。
サンプルプログラムはInterfaceのダウンロードページからのものと、富士通のページからのものがあります。とりあえずダウンロードして動かしてみました。

ブロックくずし


オセロ


どちらもUSBマウスを使ってコントロールします。ブロックくずしをマウスでするのは結構むずかしい感じでした。
オセロは好きなときにパスできるという裏技があります。ただしパスが連続するとゲーム終了になってしまいます。
パスが自由にできると簡単すぎるというか全く別のゲームになってしまいます。


V850関連はwebサーバーを作る記事ざてす。
p.143にNECのminicube2を使うためのgdbserverを作ったという話も載っています。

マイコン関連ではありませんが、CUDAというGPUを使った計算の話がおもしろかったです。日本向けのホームページもあります。


Interface 4月号

2008-02-25 23:27:23 | V850
FPGAとCPUコアの話ということで買ってきてしまいました。
本当は5月号付録の情報が知りたかったんだけど・・・

「あわてないあわてない」!!??

って、○△※■・・・

うう、出し惜しみですか・・・

とか言いながら基板写真が出ています。(追記 こちらにありました。)

編集者プログから拾ってきました。


右側には3列15pinコネクタ用の穴があります。えっ、ディスプレイにつなげるの?
USBコネクタっぽい(B?)4つの穴が左右に2つ。左上のはUSBのAコネクタ?
右上には10MHzのクリスタル。
左下には増設用のクリスタルのパターン。左上にもクリスタルの増設パターン
ICは、U1, U2, U4, U5が見えますがU3は見あたりません。もしかして裏?
上の方にはWaakamatsu CQ出版 TDK FUJITSUの文字があります。
薄くてCPUの型番は読めませんが、「FR60 MB91FV310A」とのことです。

MB91FV310Aでぐぐると「世界初TV向けOSDC,USB内蔵 32ビットマイクロコントローラ MB91310シリーズ」というpdfが見つかりました。
プログラムFLASH 512k、font用flash 512k、RAM16k。USBはfull対応。なんとも豪勢です。

どちらかというとDWMのARM基板の方に期待してたんですが、これはうれしい誤算です。
ディスプレイに出せるマイコンだったとは。

15pinのVGA用コネクタはあまり売っているところがなくて、たしか千石にはあったはずです。

V850の記事は今月も2本あります。加速度センサーを使った電子打楽器です。

すみません、編集しながら投稿してたので順番がぐちゃぐちゃです。

Interface 3月号

2008-01-25 23:44:36 | V850
今月はV850付録基板関連の記事が5つも載っていました。コンテストの入賞作品の紹介の記事も出ています。たしか2月号で紹介って話だったような気もしますが・・・

コンテスト入賞作品は動かしているビデオを公開してほしいなあ。1位入賞は社員教育の一環でやったみたいなことが書いてあります。

今月はデバイス・プログラミングの特集です。インターフェースって昔から、べたべたのソフトでもなくハードでもなくといった感じの雑誌でした。まさに名は体を表すって感じです。どちらかといえばソフトよりっぽかった感じもありますが、昨今の組み込みブームはまさにインタフェース誌の時代が来た(って思っていいんですよね?)ように思います。

書籍「PSoCマイコン・スタータ・キット」が近日発売予定になっています。同梱のブレッドボード?というか写真からすると、おもいっきりブレッドボードですね。デバイスもCY8C29446みたいです。

その他
- 組み込みシステム評価キットのオプションCPUカードが発売になったみたいです。
- 5月号のFRマイコン基板の情報はDWMとかわりませんでした。

ついでにエレキジャックも買ってきました。
ものづくり読者モニタ募集があります。ほとんどキットですがサンハヤトのドリルセットとかエッチング入門セットなんかもあります。モニターだからレポート出さなきゃいけないです。工作のやりかたみたいな記事が終わっています。私とかにはとても重要だったのですが多少残念です。そういえばドリル買わなきゃと思ってて何を買えばいいのか分からず、ずっとそのままになっています。次回の555の特集は楽しみです。

Interface 12月号

2007-10-30 23:44:25 | V850
GNUのtool chainを使う話みたいです。
V850付録基板用のクロス開発環境を作る話が載っています。
付録DVDに一通りはいっているみたいです。
11月号に載っていたなひたふさんのJTAGデバッガもDVDにはいっています。

p.143からはPSoc first touchの紹介記事が載っています。
V850コンテストの紹介は2月号からみたいです。
ET2007でCQ筆者陣によるミニ講演会が開かれるみたいです。

GDBを使った実践的デバッグ手法という本も売っていたので買ってきました。


Interface 11月号

2007-10-01 22:33:09 | V850
p.59 V850アプリケーション製作コンテスト結果発表。来月号にレポートが出るみたいです。体感ゲームってなんでしょう。いつかは、こういうのに参加できるようになればいいなあ。

p.113 V850付属基板用JTAGデバッガ登場。なひたふさんのJTAGデバッガの記事が出ています。ユーザー領域を圧迫しないというのと、やはりRAM上でプログラムを動かせるのが素敵です。(なひたふさんのblogダウンロードサイト)


鈴商液晶をV850基板から使う(1)

2007-07-08 21:20:43 | V850
V850付録基板から鈴商液晶を使ってみようと思いました。ソフトだけでやると間に合わないっぽいので、DWM付録基板のFPGAを使うことにしました。FPGAへの出力はSPIにします。長くなりそうなので、V850側からはじめます

V850は3線式のシリアル通信機能をもっているので、そのまま使います(ユーザーズマニュアル16章)。コマンドを送っているのかデータを送っているのかを区別するための信号(RS)を追加します。
V850付録基板のpin配置
RS   P5.3  (CN3 5pin) 0:コマンド 1:データ
CS   P5.2  (CN3 4pin) 1:disable 0:enable
SCK  SCKB2 (CN3 3pin) SPI送信クロック (CSIB2)
MOSI SOB2  (CN3 2pin) SPI送信データ   (CSIB2)

データは横8dotを1byteにすると384x192dotは48x192byteになります。FPGA側にRAMを持たせて0000番地~23ff番地の9k byteに左上のdotから並んでいることにしました。アドレスは自動的にインクリメントされるのでデータを送ってやれば左上から順に描画されます。コマンドはアドレスを変更するのに使います。アドレスは14bitあるので、2回に分けて送ってやる必要があります。
転送先アドレス変更コマンドの概要
RS = 0
1byte目 0x80 + アドレス上位6bit
2byte目 アドレス下位8bit

appliletを使って雛形を作ります。

- システムは、ウォッチドックタイマーを使用しない、オンチップデバッグを使用する。
- ポートは、P52とP53を出力にする
- シリアルは、CSIB2を使用する
- CSIB2の設定は、受信許可なし、送信許可あり、コールバックは送信完了にして他はデフォルトのままにします。

デフォルトだとデータ長8bit、MSBから送信、1Mbps、データ位相はタイプ1になっています。データ位相は立上り/立下り、正パルス/負パルスの組み合わせで4種類あります。詳しくはユーザーズマニュアルの16章に載っています。

mainはこんな感じです
#define CS P5.2
#define RS P5.3

#define CMD  0
#define DATA 1

UCHAR vram[48*192];

// SPI送信
void send(UCHAR rsel, UCHAR *p, USHORT size)
{
    extern volatile UCHAR done;
    RS = rsel;                 // 0:コマンド 1:データ
    done = 0;
    CSIB2_SendData(p, size);   // 送信
    while(!done) ;             // 送信完了まで待つ
}

void  main( void )
{
    UCHAR cmd[2] = {0x80, 0x00};

    // ここでvramに何か描く

    CS = 0;
    send(CMD, cmd, 2);         // コマンド送信
    send(DATA, vram, sizeof(vram)); // データ送信

    while (1) ;                // 無限ループ
}

コマンドで転送先を0番地にしてから、vramの内容を一気に送っています。SPIへの送信はポーリングで完了待ちしています。

送信完了のコールバック(SERIAL_user.c)はこんな感じです。
volatile UCHAR done;

void CALL_CSIB2_Send( void )
{
    done = 1;
}

volatileな変数doneを使って完了通知をします。

CSIB2の設定で、転送モードを単発転送モードでなく連続転送モードにすることもできます。いまいち違いがよく分かっていませんが、連続にすると送信に割り込みを使うようです。V850のDMAは転送先をポートにしたり、転送のトリガーを完了割り込みにしたりできるので、DMAでSPIにデータを転送することもできるみたいです。

Interface 8月号

2007-06-25 22:37:33 | V850
とりあえず買ってきました。V850関連は「V850マイコン基板を用いたカラー表示ビデオ・ゲーム機の製作(後編)」です。NTSCビデオ信号の話なんかが書いてあります。こんなに複雑なんですね。
それとp.185には前回の回路図で間違ったところがあったのの訂正が載っています。

BLANCAの連載ではなひたふさんがMITOUJTAGの話を書いています。


Interface 7月号のゲーム(2)

2007-06-14 22:46:33 | V850
ゲームを遊んでみたかったので、3.3V系というか3軸加速度センサーの部分を組み立ててました。ゲームは結構難しいです。まだ2面クリアできません。



6/15 追記 音声出力用のopアンプもつけてみました。音がピコピコなって楽しいです。2面はクリアできました。線がいっぱい生えてて、あまり操作性はよくありません。
ビデオの線の色は映像(黄)音声左(白)音声右(赤)です。


Interface 7月号のゲーム

2007-06-13 22:45:07 | V850
とりあえず組み立てはじめました。最初の画面が出るところまで、できました。
あいかわらずブレッドボードです。CXA1645Pのまわりで1枚、R-2Rラダーで1枚、計2枚のブレッドボードを連結しています。5V系の回路です。残っているのは3.3V系で、このためにもう1枚ブレッドボードが必要になります。

アナログ系の配線は短くするそうなのですが、思いっきり無視してます。そのせいか画面は多少波打っています。


サイキット小液晶を4.3Vで動かす

2007-06-10 02:25:18 | V850
前々回サイキットさんに小液晶のELバックライトについて教えてもらったことを報告しました。5Vだと明るくて、3.3Vだと暗いという話でした。
V850の一部のI/Oピンは5V耐圧なので5Vで動作させてもいいのですが、液晶側がHと思うのは0.7Vddなので3.5V以上です。V850で出力を3.3V以上にするにはNch出力モードにしてpull-upしてやる必要があります。
そこで液晶の動作電圧を下げて4.3Vでの動作を試してみました。4.3Vは、ダイオードの電圧降下を使って5Vから簡単に作れるのと、3.3Vよりはバックライトが明るくなりそうだったのと、0.7x4.3V=3VなのでV850側は3.3V出力のままでHと認識してもらえるのでpull-upしなくていいことの3つの利点があります。
液晶との接続は前回作った冶具をそのまま使っています。
4.3V動作のときは約40mA流れていました(5Vのときは60mA)。
写真はもっと明るい感じなのですが、うまく撮れていません。