Sim's blog

電子工作はじめてみました

2月28日(火)のつぶやき

2012-02-29 02:24:40 | Twitter
00:07 RT from web
新規設計非推奨→生産終了予定 に変わってる | 製品データシート検索システム - 2SC1815 / bit.ly/qFrqP #2sc1815
くりうずさんのツイート

07:57 from Echofon
AVRでZ80をシミュレートしてCP/Mを動かしている。Sprites mods - CP/M on an AVR - Intro spritesmods.com/?art=avrcpm

07:58 from Echofon
洋を問わず好き者多しw

12:24 from Echofon  [ 1 RT ]
mbed用のプロトタイピングボード。HitexMatrix - Cookbook | mbed mbed.org/cookbook/Hitex…

22:58 from Echofon
作りながら学ぶマイコン設計トレーニング、という古い本がほしいが、Amazonだと7000円くらいする><

23:01 from Echofon (Re: @kanpapa
@kanpapa 目次だけ見てもよさげな感じの本ですよね。

23:08 from Echofon (Re: @kanpapa
@kanpapa 亜土電子!

23:09 from Echofon (Re: @akiyoshi_kamide
@akiyoshi_kamide 昔からやってる方はけっこう持ってるんですね。

by Sim0000 on Twitter

2月27日(月)のつぶやき

2012-02-28 02:24:07 | Twitter
09:09 from Echofon
楳図かずおの14歳の世界がもう間近にやってくるということか。

12:18 from Echofon
お大事に、は英語でTake careとかBless youというらしい。God bless youの略かな

16:05 from Echofon
基板なしの方はまだあるみたいですね。amazon.co.jp/dp/4789848256/ RT: @chobichan: あ!、アマゾンで売り切れている。

16:06 RT from Echofon
ARM Cortex-Mシリーズ LPCマイコン紹介セミナー 2012/3/28(水)13:30~17:30新横浜 株式会社PALTEK bit.ly/x1wek0
Takashi Hiranoさんのツイート

16:08 RT from Echofon
【特報】エルピーダ、会社更生法適用申請へ s.nikkei.com/9j38vd #nikkei
日経ったーさんのツイート

16:15 RT from Echofon
【再送】2012/3/18ワークショップ「はんだづけをして、ヘッドフォンアンプを作ろう」一人分だけ空きができました! handazukecafe.blogspot.com/2012/01/blog-p…
はんだづけカフェさんのツイート

17:18 from Echofon
いつも見かける、やつは最弱というツイートはまだないのかな

20:14 from Echofon (Re: @hmori
@hmori DCA55、通販でも在庫切れですね><

by Sim0000 on Twitter

2月26日(日)のつぶやき その2

2012-02-27 02:23:27 | Twitter
14:10 from Echofon
はんだづけカフェなう

14:16 from Echofon (Re: @duo6750
@duo6750 楽しげです。いい感じ。

14:25 from Echofon  [ 1 RT ]
はんだづけカフェには、巷で入手困難なFPGA技術vol.4がある。読むためだけにくるのもありかも。

14:35 from web (Re: @t157 [ 3 RT ]
おお、さすが仕事が速い。 @t157 秋月のホームページ上で「回路図に誤りがありました。」と、お詫び。

15:00 from モバツイ / www.movatwi.jp
はんだづけカフェ離脱

15:24 from モバツイ / www.movatwi.jp
若松6Fでガイガーカウンター勉強会やってる

16:50 from モバツイ / www.movatwi.jp
秋葉離脱

18:11 from Echofon  [ 2 RT ]
すでに本屋さんに置いてあった。XBeeつきのも。 超お手軽無線モジュールXBee shop.cqpub.co.jp/hanbai/books/M…

18:12 from Echofon  [ 1 RT ]
Interface最新号(2012/4)はNFCとFeliCaの特集号。interface.cqpub.co.jp

18:13 from Echofon  [ 1 RT ]
3/1発売だけど、はんだづけカフェには置いてあった。発売前に中身を確認できる。CQ出版の寄贈ということらしい。Arduinoで計る,測る,量る shop.cqpub.co.jp/hanbai/books/4…

18:16 from Echofon (Re: @gonbee
@gonbee vol.4は現在入手可能ですね。再販されていないのはvol.5でした。switch-science.com/products/list.…

18:48 from web  [ 1 RT ]
ついまるを、接続するとUSB複合デバイス(USBオーディオ+HIDだった。普通にUSBスピーカとしても使える。読み上げはPC側のソフトの機能ということみたい。

18:50 from web (Re: @gonbee
@gonbee vol.4とvol.5はセットで必要ですよね^^

20:35 from Echofon  [ 1 RT ]
はんだづけカフェにマンガでわかる電気の英語版があった。amazon.co.jp/dp/1593271972

20:37 from Echofon
今日は、はんだづけカフェに行ったのに、結局本棚をあさってただけでしたw

by Sim0000 on Twitter

2月26日(日)のつぶやき その1

2012-02-27 02:23:26 | Twitter
01:45 RT from Echofon
30年前のBit誌。8080とわずか2KBのメモリで動くBASICインタープリタの全機械語コードが掲載されている。これは是非移植したい。 pic.twitter.com/gUFwZb4P
自作中毒ぢぢぃ、雅田夫(GATARO)さんのツイート

01:47 from Echofon
「たんぽぽ」の生卵以来の衝撃だ、歯磨きw

01:48 from Echofon
回路図の通りだとするとパターンカットでokなはず RT: @air_variable: もし秋月の基板が間違えていても、「みんなゴメンね、直し方書いたから宜しく ! てへぺろ(ゝω・) 」と予想w 

01:51 from Echofon
うーむ、秋月に行きたくなってくるTLだw

02:15 from Echofon  [ 1 RT ]
2Kとか、今なら信じられない世界w 8bit CPUのBASIC 処理系|たけおか ぼちぼち日記 ameblo.jp/takeoka/entry-…

02:25 from Echofon
うはっ、たしかに>< RT: @air_variable: @Sim0000 パターンカットがPICの下のパターンとなると、ムンクの叫び状態になりそうですw

02:27 from Echofon
1$ 80.99円とな。てか、1ユーロ 108.89円だ。

02:45 from Echofon
FPGAで色々遊んでいるblog。お役立ち情報も色々。FPGAで遊ぶ bobgosso.blog13.fc2.com

02:49 from Echofon (Re: @mituoh
@mituoh もう、歯ブラシを今までのように普通の器具と見れなくなってしまいましたw

02:56 from Echofon (Re: @create_clock
@create_clock ソノシートをwikipediaで調べてみました。bit.ly/eSSjpE 薄いレコードにBASICのコード(?)が録音されていた?プログラムリストよりすごいかもw

06:26 from Echofon
技適w iPhone操作のラジコン戦車が販売中、「戦車視点」で操作可能 / 暗視カメラ内蔵&無線LAN接続 akiba-pc.watch.impress.co.jp/hotline/201202…

10:29 from Echofon (Re: @minicube
@minicube もちろん、行きがTXで戻りがRXですw

10:32 from Echofon
秋月をめざす

10:47 from モバツイ / www.movatwi.jp  [ 1 RT ]
テスター持参で小さなマイコンボードを買いにいく人柱修行w

11:26 from モバツイ / www.movatwi.jp
秋葉なう。

11:40 from モバツイ / www.movatwi.jp
ブツは入手した。ドトールに向かう

11:45 from モバツイ / www.movatwi.jp  [ 2 RT ]
@air_variable はい、その通りです。結果ですが、導通はありませんでした。回路図の誤りでした。

11:48 from モバツイ / www.movatwi.jp
ドトールでテスターを使っているのは、ある意味、異様な光景に違いないw

11:53 from モバツイ / www.movatwi.jp
@air_variable ありがとうございます。安全なボードでよかったです。

12:24 from モバツイ / www.movatwi.jp
とりあえず、お店の人には回路図が間違っていることは伝えた。

12:31 from モバツイ / www.movatwi.jp
秋月店頭ではトランジスタテスターは売り切れていた。残念

12:39 from モバツイ / www.movatwi.jp
日米でツェナーダイオード売っていることに気づいた

13:22 from モバツイ / www.movatwi.jp
@knives_ichimi ですです。

13:24 from モバツイ / www.movatwi.jp
どすぱらで、ついまるを入手。

13:25 RT from モバツイ / www.movatwi.jp
Tiny10で実用品を作る試み。試しに作った導通テスターはChaNさんの作品の移植版。 elm-chan.org/works/cch/repo… 機能は端折ったけどオートで電源オフ機能もついていて便利。 twitpic.com/8o7zx0
Yoshitaka Kuwataさんのツイート

by Sim0000 on Twitter

2月25日(土)のつぶやき

2012-02-26 02:22:43 | Twitter
00:23 RT from Echofon
Now browsing:グラフィック有機ELモジュール100x16[黄色] - ネット販売 strawberry-linux.com/catalog/items?…
99円 ※消費税が別に加算されますさんのツイート

03:33 from Echofon
とりあえずバグは取れた気がする。本格的なテストプログラムを書く

11:15 from Echofon
はらへ

12:56 from Echofon
おなかいっぱい

12:57 from Echofon
@duo6750 PCの世界だとMS-DOSかな

19:19 from Echofon
昼寝完了

22:26 from Echofon  [ 2 RT ]
LaunchPadを使ったオシロの製作。2011年度 マイコン・デザイン・コンテスト結果発表 e2e.ti.com/jp/blogs/emb_p…

23:10 from Echofon
お、落札できた。

23:18 from Echofon  [ 5 RT ]
このツイートが気になる。データシート見るとPIC18F14K50のVUSBの絶対最大定格は4V。 twitter.com/#!/nahatakenji…

23:33 from Echofon
USBIO2.0モジュールではVUSBはC3を経由してGNDにつながっている。USB接続デジタル入出力モジュール USB-IO2.0(AKI): マイコン関連 秋月電子通商 電子部品 ネット通販 akizukidenshi.com/catalog/g/gM-0…

23:36 from Echofon
スクリーンセーバーってCRTディスプレイの焼きつき防止ってことだったと思うけど、TFT液晶でも状況は同じで焼きつき防止ってことなのかな。

23:45 from Echofon  [ 1 RT ]
秋月のマイコンボード、回路図の間違いだけであればよいのですが・・・ RT: @t157 CQ出版の「今すぐ使えるパソコン計測USBマイコン基板」は、VUSBは、0.47μFを経由してGNDにつながっています。

by Sim0000 on Twitter

2月24日(金)のつぶやき

2012-02-25 02:25:09 | Twitter
00:42 RT from web
なんか凄いなあ RT @Mocel: これはアツい。半田付けセットそろえたくなる。 offshore-ww.com/gr8bit-top.html
森 秀樹さんのツイート

01:09 RT from Echofon (Re: @sweacco
@sweacco 私もTiny10のプログラマにMAX662Aを使っています。 morecatlab.akiba.coocan.jp/lab/index.php/…
Yoshitaka Kuwataさんのツイート

01:10 from Echofon
作っているプログラムにバグがあることは分かった。取るのはたいへんそう。

08:50 from Echofon
酒を飲むと赤くなって3倍暴れるw

09:38 from Echofon
参加無料。MCU Workshop - Stellaris ~入門編~|富士エレクトロニクス株式会社 fujiele.co.jp/seminar/texas-…

11:38 from Echofon
赤い酔拳

19:47 from Echofon  [ 2 RT ]
800円。PIC18F14K50使用USB対応超小型マイコンボード: 組み立てキット 秋月電子通商 電子部品 ネット通販 akizukidenshi.com/catalog/g/gK-0…

21:03 from Echofon  [ 2 RT ]
定規とコンパスを使って線分を3等分する。google先生優秀すぎw yosshy.sansu.org/3tobun.htm

22:24 from Echofon
秋月の説明書、カラーが多くなりましたね。 RT: @kyuru19: もう、カラー写真入りが一般化かな?

23:13 from Echofon
よし、デバッグだw

by Sim0000 on Twitter

2月23日(木)のつぶやき

2012-02-24 02:26:01 | Twitter
00:35 from Echofon  [ 3 RT ]
トラ技3月号のカメラモジュール、どちらも品切れになっている。割引きは3/10までみたい。日昇テクノロジー通販 csun.co.jp/SHOP/447490/84…

08:08 from Echofon
Quartusのunused pinの設定のデフォルト値ってデバイスに依存して最適なものになっているような気がする。Cyclone IVだとpull-upだったし。

08:24 from Echofon  [ 1 RT ]
シュレディンガーの嫁w

08:47 from モバツイ / www.movatwi.jp
寒いと思ったら、外は雨ふってる

10:52 from Echofon
メモ。ずっとできないと思ってたw Officeお役立ちテクニック/Excelで表の行と列の入れ替え shikaku.biglobe.ne.jp/pc_technic/exc…

11:29 from Echofon
カナ打ちモードで、byteと打つと「こんかい」になる。wordだと「てらすし」。

12:53 RT from Echofon
PSoC Creator、Componentであれば、Verilogで書けるのか。それもWizardでソースも生成してくれる。こりゃ便利そう。cypress.com/?rID=40330
伴 俊作さんのツイート

12:55 from Echofon (Re: @kazz045
@kazz045 @OkibiWorksLabo @maris_HY 思い込みってこわいですね。とりあえずなんでもグクってみる習慣をつけないと><

21:44 from web  [ 1 RT ]
シュレディンガーの嫁は、ディスプレイから出てくるまでツンなのかデレなのか分からない。ディスプレイの中にいるときはツンとデレがまじったツンデレという状態にあると考えることができる。(民明書房刊 量子力学の変遷)

22:56 from Echofon
ZilogのZ80の後継CPU、eZ80。Z80上位コンパチ(機械語レベル)、50MHzシングルクロック動作、アドレスは24bitに拡張可、I/Oピンは5Vトレラント bit.ly/wNu4vv

by Sim0000 on Twitter

2月22日(水)のつぶやき

2012-02-23 02:25:12 | Twitter
00:08 from Echofon
さすがfenrirさん RT: @fenrir_n: 9306は猫カメラモジュールでも使ってましたー

09:09 from web  [ 1 RT ]
今日は平沢憂ちゃんの誕生日ということらしい

21:42 from Echofon
他人の書いたverilogは読むのが疲れる。しょぼーん

21:51 from Echofon
@nahatakenji 元記事にもあるように、FPGAが未コンフィグの場合FPGAのピンはHi-Zです。基板はこの状態でも大丈夫なように作られているはずなので、とりあえずHi-Zにしておくというのは間違いではないと思います。ただし、基板がまともであるという前提がありますね。

21:54 from Echofon  [ 1 RT ]
ペアプロミングでペアの性別と効率に何かの関係は知られているのだろうか。男女ペアだと男の効率が落ちるとかそんなかんじ。

22:00 from Echofon (Re: @kojisan_rt
@kojisan_rt 私とかだと、画面よりはうなじを眺めてそうで、バグ大発生みたいなことになりそうです><

22:02 from Echofon (Re: @kojisan_rt
@kojisan_rt ペアプログラミングはやったことないです。一度体験してみたいかも

22:03 from Echofon
そういえばModelSim使ったことないなあ。全7回配信 ModelSim ノウハウ特集|株式会社PALTEK paltek.co.jp/mentorkh/

22:04 from Echofon (Re: @OkibiWorksLabo
@OkibiWorksLabo そのあたりは人それぞれなんでしょうけど、いかにもありそうですね。

22:04 from Echofon
よし、休憩だあ

22:08 from web (Re: @s_tujimura
@s_tujimura veritak一筋です。でもNIOSとか使いこなすにはModelSim必須なんでしょうね。

23:34 from Echofon (Re: @nahatakenji
@nahatakenji なるほど、コンフィグ中にHi-Zとは限らなくてモノによってはプルアップだったりすることもあるんですね。うーむ奥が深い~

23:36 RT from Echofon (Re: @Sim0000
@Sim0000 ザイリンクスやアルテラのハンドブックを読んでみてください。CycloenIVはパワーオンリセット直後からコンフィグ完了まで、否応なしに内部プルアップが有効になります。Spartan6はHSWAPENピンでプルアップ有無を選べます。Stratix系も同様に選べます
NAHATA Kenjiさんのツイート

by Sim0000 on Twitter

2月21日(火)のつぶやき

2012-02-22 02:23:39 | Twitter
00:53 from Echofon
どういう原理なんだろう。コネクターの向きを気にせず挿せる"どっちもハブUSB2.0ハブ 4ポートタイプ(どっちもハブ) | バッファローコクヨサプライ buffalo-kokuyo.jp/news/data/2012…

01:11 from Echofon (Re: @s_tujimura
@s_tujimura 気になりますね

08:23 from Echofon  [ 3 RT ]
またローソンでまどか☆マドカのキャンペーンをやっているらしい。ローソン×アサヒ「WONDA」キャンペーン実施! p-memories.com/node/101738

08:25 from Echofon
6缶で2枚。全10種類ということは、30缶でコンプ。買う人は買うんだろうなあw

10:51 from Echofon (Re: @OkibiWorksLabo
@OkibiWorksLabo 大人の人はすごいなあ(棒

12:11 from Echofon  [ 1 RT ]
てきとーにコイル巻いてショットキーバリアダイオードかませば光るかなー、とか聞くとピクっと反応してしまうw

20:07 from Echofon
これはいい RT: @OkibiWorksLabo: トランジスタチェッカー来た!(*゜▽゜*)しかも、安ッ! RT @aki_soku: 【新商品】02/21「半導体アナライザDCA55<フルオート自動判別>」4,200円 | 秋月電子 akizukidenshi.com/catalog/g/gM-0…

20:10 from Echofon  [ 4 RT ]
心ひかれる。I2Cバス用双方向電圧レベル変換モジュール(PCA9306): 半導体 秋月電子通商 電子部品 ネット通販 akizukidenshi.com/catalog/g/gM-0…

22:06 RT from Echofon
今月のWeb+DB誌はmbed+ソーシャル電子工作の記事があるらしい。どんな内容だろう。amazon.co.jp/gp/aw/d/477414…
勝純一/じぇーけーそふとさんのツイート

23:38 from Echofon  [ 7 RT ]
忘れないようにメモ。FPGAで忘れてはいけない、たった一つのオプション - ぱたヘネ d.hatena.ne.jp/natsutan/20080…

by Sim0000 on Twitter

2月20日(月)のつぶやき

2012-02-21 02:35:12 | Twitter
05:59 from Echofon
歯茎が腫れている

06:33 from Echofon
これで全員の正体がばれた。

11:28 from Echofon
13500円。はんだこて/ステーションタイプFX-888-01BK: 電子工作便利商品 秋月電子通商 電子部品 ネット通販 akizukidenshi.com/catalog/g/gT-0…

12:19 from Echofon
Mr.味っ子というと、スバラシイゾウさんを思い出す。あ、味皇も負けずおとらず、いい味出してました。

12:22 from Echofon
1$ 79.45円とな

18:07 from Echofon
QuartusがデュアルポートRAMを推論してくれた。

19:02 RT from web
「ANDゲートは2つの入力が共にHの時、出力がHとなる素子」と解説する教科書が多いけど、実戦利用の9割以上は「1つの入力に対する出力を、他の入力で強制的にLにする素子」として使う。同様にORは「他の入力で強制的にHにする素子」だ。
自作中毒ぢぢぃ、雅田夫(GATARO)さんのツイート

23:36 from web
ひさびさにSOPC builderを使ってみるなど。

by Sim0000 on Twitter

2月19日(日)のつぶやき

2012-02-20 02:20:40 | Twitter
02:32 RT from Echofon
systemcをVisual C++にインストールする方法を解説しているサイトの紹介:www10.atwiki.jp/bambooflow/pag…
シャンテリーDSチームさんのツイート

16:25 from Echofon
なにもする気力がおきない

17:16 from Echofon  [ 1 RT ]
中国嫁日記の2巻が出るらしい amazon.co.jp/dp/4047279463/

20:06 from Tweet Button
Sim0000は『Lv.71、職業:村長、HP:2000、主な武器:魅力、性別:男、髪色:水色、特技:パンチ、口癖:●●ばいいのに~』です。 shindanmaker.com/194153 71にもなって村長かいw

21:59 from Echofon
左足の小指に血豆ができていた。

22:01 from Echofon
小指は絆創膏まきづらいな

by Sim0000 on Twitter

2月18日(土)のつぶやき

2012-02-19 02:22:27 | Twitter
00:00 from モバツイ / www.movatwi.jp
魔女になりそうなくらいソウルジェムが濁りはじめている・ ・・

01:40 from モバツイ / www.movatwi.jp
午前様なう

09:52 from Echofon
ゲームのCMということらしい。少女が暗黒卿になる『Kinectスターウォーズ』 - MSN産経ニュース sankei.jp.msn.com/wired/news/120…

12:20 from Tweet Button
すき家で強盗食ってたら店員が入ってきて牛丼がカラーボール投げた #Sukiyanogoto shindanmaker.com/193737 それって、おいしいの?

13:05 from Echofon
1.25V / 20mA = 62.5Ω。E系列にはないか。130Ωのパラで65Ωあたりで手をうつかな。

13:10 from Echofon
120 // 130が62.4だ

13:51 from web
75 // 375 = 62.5でぴったり。75 // (300 + 75)で作れる。

13:51 from web
抵抗値の計算できる電卓がほしいかもw

14:06 from Echofon
おお、それだ! RT: @mkn 62オームの抵抗があるみたいです

14:07 from Echofon (Re: @t157
@t157 うっ、まずはiPhoneから・・・

14:07 from Echofon
というわけで62Ωの抵抗を買いにアキバに行くw

14:21 from Echofon  [ 1 RT ]
おお、これはいいですね。 RT: @rerofumi: @Sim0000 抵抗値の検索に WolframAlpha はいかがでしょ wolframalpha.com/input/?i=62.5o…

15:19 from モバツイ / www.movatwi.jp
あきばなう

16:10 from モバツイ / www.movatwi.jp
62Ωの抵抗は千石地下で購入

19:39 RT from web
【貧乏自作道場】 こうすれば32bit分のケーブル対基板コネクタが1組170円で実現できます。 (L字40Pヘッダ50円。8Pソケット30円×4。in秋月)  \^o^/ pic.twitter.com/jVN7fIih
自作中毒ぢぢぃ、雅田夫(GATARO)さんのツイート

19:43 from Echofon
秋月の店頭にあった情報によると、秋月液晶のドライバ(?)のようなものが、そのうち出てくるとのこと。TFT液晶モジュールATM0430D5: ディスプレイ関連 秋月電子通商 電子部品 ネット通販 akizukidenshi.com/catalog/g/gP-0…

19:44 from Echofon
写真とか撮ってくればよかったんだろうけど、あまりに混みすぎていて迷惑かなあと遠慮してしまった

21:08 from Echofon (Re: @_K4ZUKI_
@_K4ZUKI_ レジのあたりにはってありました。バックライト用の30V作ったり0.5mmピッチのFFCコネクタ実装したりするのはたいへんですし、何かしら出てくれるとうれしいです。

21:09 from Echofon (Re: @_K4ZUKI_
@_K4ZUKI_ はんだごてには気づきませんでした。

21:14 from Echofon
今日は三月兎で250円のbluetoothマウスを買った。

by Sim0000 on Twitter

2月17日(金)のつぶやき

2012-02-18 02:23:10 | Twitter
09:34 from Echofon
今日も寒い

10:52 from Echofon  [ 3 RT ]
トラ技に特設ページができている「超お手軽無線モジュールXBee」お役立ちページ toragi.cqpub.co.jp/tabid/534/Defa…

11:49 from Echofon
六重苦。「円高」「高い法人税」「電気料金の値上げと不安定な供給」「環境対策への負担」「貿易自由化への対応の遅れ」「各種労働規制の強化」

12:29 from Echofon
mbedベースボードBlackOne techand.jp/BlackOne/

16:17 RT from web
100均で2個セットのケースに、マイコンボードとUSBケーブル、開発ツールと資料を入れたUSBメモリを入れて持ち歩いている。USBケーブル100円だし、USBメモリも500円しないので専用に使っても惜しくない。 twitpic.com/8kv683
tokoyaさんのツイート

17:32 from Echofon (Re: @ThirdWorkshop
@ThirdWorkshop パーコンという言葉があった模様

21:40 from モバツイ / www.movatwi.jp
酔っ払いなう

21:40 from モバツイ / www.movatwi.jp
ソウルジェムがくすんでいる

21:50 from モバツイ / www.movatwi.jp
世界の山ちゃんなう

21:52 from モバツイ / www.movatwi.jp
俺は白ホッピーだだだ

21:56 from モバツイ / www.movatwi.jp
月山なう

22:42 from モバツイ / www.movatwi.jp
黒い黒い飲み会

by Sim0000 on Twitter

2月16日(木)のつぶやき

2012-02-17 02:23:34 | Twitter
00:26 from Echofon (Re: @mutu56xx
おおっ、するどい RT: @mutu56xx: 野営で探すと**野営場(キャンプ場)という表記はいくつか見つかりますね。ただ私は"湖畔”の方を問題にしたかった誤植ではないかと思います。RT @air_variable ぐぐっても分かりません>< RT @chobichan

08:04 from web
DE0 nanoに載っているCyclone IVの80%を使い切った。こんなに大きいのは初めてかも。

08:58 from Echofon
まわりで風邪がはやっている。インフルエンザでしばらく見ない人もいる。

10:20 from Echofon
リュックは肩ヒモを短めにして背中にぴったりつくくらいが歩いているときは楽な感じなんだが、冬になると厚着なのでヒモを長めにしないとリュックをはずすときがつらい(コートも一緒に脱げちゃう)。

10:21 from Echofon
それにしても今日は寒い

10:23 from Echofon
後でまじめに読む。【後藤弘茂のWeekly海外ニュース】 ARMが次世代CPU「Atlas」と「Apollo」の計画を発表 pc.watch.impress.co.jp/docs/column/ka…

12:07 from Echofon  [ 2 RT ]
ザイリンクス評価ボード円高還元キャンペーンだそうな。 アヴネット ジャパン株式会社 avnet.co.jp/products/suppl…

17:28 from Echofon
結局11.1はsp2でもトラブル続きなので11.0sp1に戻そうとしている

21:58 RT from モバツイ / www.movatwi.jp
自分のプリント基板を工場に発注する流れの解説。実務的でとても良い。ただし英語。 dangerousprototypes.com/docs/Get_your_…
金本茂 Shigeru KANEMOTOさんのツイート

22:01 RT from モバツイ / www.movatwi.jp
Twitterで冗談はマズいらしい。冗談じゃないな。 …… 「Twitter上の冗談」で逮捕、罰金約30万円:英国 - MSN産経ニュース sankei.jp.msn.com/wired/news/120…
kakoさんのツイート

by Sim0000 on Twitter

2月15日(水)のつぶやき

2012-02-16 02:25:53 | Twitter
00:13 from Echofon
2/24は満席なので3/2にしてみた

00:30 from Echofon
秋月3000円液晶のおまけについてくる40pin FFCコネクタFH28-40S-0.5SH。 search.digikey.com/jp/ja/products…

01:02 from Echofon
ソニーえげつないな。iTunesでホイットニー・ヒューストンを値上げしたのはAppleではない? - MSN産経ニュース sankei.jp.msn.com/wired/news/120…

02:07 from web
グレッグイーガンのプランク・ダイブを読み始めている。dark integersがいい感じ。

02:10 from Echofon (Re: @prototechno
@prototechno いえ、これのことでしょうw search.digikey.com/jp/ja/products…

02:40 from Echofon (Re: @prototechno
@prototechno あ、単にdigikeyの方が安いというだけでした。

02:47 from web (Re: @prototechno
@prototechno 外に何かつなげようとしたときに、コネクタが特殊な形状っぽいあたりが多少気になります。確か専用の拡張基板もあったはずなので、そちらも入手されることをお勧めします。

11:13 from Echofon
Nios II SBTでelfを選択してメニューのrun asを開いてもNios II hardwareが出てこないというトラブルに巻き込まれている。

11:23 from Echofon
状況は、このページと酷似している。 altera.co.jp/support/kdb/so…

20:40 from Echofon
メモ。分かりやすくまとまっている。Qsysにコンポーネントを追加 - OSQZSS blog.goo.ne.jp/osqzss/e/dd42c…

20:41 from Echofon (Re: @prototechno
@prototechno はじめてということだと、DE0が一番のお勧めです。解説本もあるし、ネットから色々情報が拾えます。

20:44 from Echofon
貯蓄率が減ると国債の引き受けも減って政府の中の人が困ることになるのは分かりきってるのにw

20:51 RT from web
Youtubeで"保護期間の終了した"クラシックのMIDIが著作権侵害の申請を受けるという事態発生中。ソニー、ユニバーサル、ワーナー関係といったお馴染みの著作権管理団体が動いている様子。もし保護期間延長となったらもっとひどいことになりそう 63530969.at.webry.info/201201/article…
てんたまさんのツイート

20:52 from web
本当に嫌な時代になったものだ。ていうか、これこそ集団訴訟の対象じゃないのか?

23:50 from Echofon (Re: @air_variable
@air_variable 問12は風薫るですね。それよりもキャンプの漢字って何?

by Sim0000 on Twitter