Sim's blog

電子工作はじめてみました

12月30日(木)のつぶやき

2010-12-31 01:18:58 | Twitter
02:29 from web
なんとか残り一つまできた。といっても一番難しいのが残っている・・・
13:01 from Echofon
うはあ、うっとり。 RT: @yuna_digick: 昇圧回路ブロックの半田付け完了.綺麗な写真→http://picasaweb.google.com/lh/photo/-tL_lO6B13qVABcRDQlprQ?feat=directli
18:44 from Echofon
へえ、かっこいい RT: @ienaga045: 昨日買ったUSB接続プラズマボールw http://plixi.com/p/66598530
21:01 from web
サマーウォーズを見た。おもしろかった。
21:05 from web
サンダーバードの実写版を見始める
by Sim0000 on Twitter

12月29日(水)のつぶやき

2010-12-30 01:20:15 | Twitter
00:39 from Echofon
今まで動かなかった原因は、ROHSバージョンとそれ以前のバージョンでドキュメントが違っていたのと、そもそもピン配置が違っていたことに気づいていなかったというマヌケさにある・・・。というわけで動作確認完了。
00:41 from Echofon
Nios II Development KitのExampleはQuartusの9.0頃までと10.0で含まれているボードが異なっている。古いボード用のExampleを入手するためには、古いQuartusをインストールしないといけない。んなもんくらい独立してダウンロードさせてくれ
00:50 from Echofon
あはは、これはすごい。FPSになってるしw RT: @hmori: [マリオの目線から見たスーパーマリオブラザーズ1-1] http://bit.ly/fMyblA
03:15 from web
Nios II/fのことが少し分かった。/sとか/eと違って、IOWR_32DIRECTとかを使わないとGPIOにアクセスできない。なんでだろ
13:53 from Echofon
秋月は12/30~1/3がお休み。今日が年末最終日だ。行きたいなあ。 http://akizukidenshi.com/catalog/contents2/news.aspx
15:36 from Echofon
ありがとうございます。そういうことだったんですね。 RT: @s_osafune: @Sim0000 /fはメモリアクセスが全てデータキャッシュ経由になるためです
22:01 from Echofon
去年に引き続き今年もaitendoで福袋販売 http://www.aitendo.co.jp/
22:16 from Echofon
2784-2802で19種類登録されているっぽい
22:19 from Echofon (Re: @knives_ichimi
@knives_ichimi お天気キットというと、これですか? http://akizukidenshi.com/catalog/g/gK-04243/
23:39 from Echofon (Re: @knives_ichimi
@knives_ichimi 完全アナログなのがいい感じですね。あはは、ロシアチックかもw
by Sim0000 on Twitter

12月28日(火)のつぶやき

2010-12-29 01:19:16 | Twitter
00:15 from Echofon
AltimaのedisonっていうFPGA情報サイト、なぜかFirefoxからだと入れない
03:06 from Echofon
Firefox 3.6でjavaが動かない問題は解決できていない。Alteraのダウンローダが動かないので、しかたなくIEからダウンロードしている・・・。なんかデジャブ
03:09 from Echofon
DE0で駄目だったので、DE1でもやってみたけど、やはり駄目だった。Quartus IIを10.1から10.0sp1にダウングレードすることを考えている。
14:08 from Echofon
ふむふむ、後で見てみよう。 RT: @Nasupl: SparkfunのEagleの使い方の説明がすごいよさそう
14:11 from web (Re: @handazukecafe
今年も一年ご苦労様でした。
RT @handazukecafe はんだづけカフェは本日で年内の営業を終了いたします。はんだづけ納めをされる方は是非本日お越しくださいませ。年始は4日より営業いたします。
20:08 from Echofon
えーん、仕事納めしたいよー
by Sim0000 on Twitter

12月27日(月)のつぶやき

2010-12-28 01:17:56 | Twitter
02:16 from web
Nios IIは/eで動くものを/sとか/fに切り替えても、そのまま動くというわけではないらしい。
10:36 from Echofon
楽しみ。 テオ・ヤンセン作品が「大人の科学」ふろくに登場、3500円で1月14日発売 http://japanese.engadget.com/2010/12/21/theo-jansen/
13:05 from Echofon
犯人はここにいたかw RT: @juju_suu: 昨日大量にATmega1280が届いたので、パネル量産開始予定。Digi-Keyがまた在庫なしになっているけど、買い占めたのは私じゃないよ。
21:08 from Echofon (Re: @juju_suu
@juju_suu ATMega1280に限らず色々な製品が品薄ですね。
21:12 from web (Re: @mc68060rc75
@mc68060rc75 4116って、昔の16kbitのDRAMのことのような気がします
by Sim0000 on Twitter

12月26日(日)のつぶやき

2010-12-27 01:15:51 | Twitter
01:51 from マイコミジャーナル ログイン
よく分からないけど、すごそう。 #mycomj http://j.mp/eVrEeV NIMSら、演算素子と記憶素子の両方の動作を可能とする低消費電力素子を開発
16:16 from Echofon
おお! CMOSカメラ、特集記事ですね。 RT: @marsee101: FPGA技術ゲット。 http://plixi.com/p/65652279
16:16 from Echofon
飯食わないと腹減った
by Sim0000 on Twitter

12月25日(土)のつぶやき

2010-12-26 01:15:16 | Twitter
00:10 from Echofon
山廃純米吟醸が五臓六腑にしみわたるぜい
01:52 from Echofon
天狗舞の残りが少ないー
11:50 from Echofon
今起きた
11:50 from Echofon
なぜか横には空の酒ビンがある。
11:52 from Echofon
家飲みはやばいな。最後の方の記憶がない。帰らなくていいから安心して飲みすぎちゃうんだろうな。
12:12 from Echofon
今年最後のチャンカレを食いにいく
12:42 from web
うはっ、乗り合わせ悪すぎ・・・。それにしてもホーム寒い
12:56 from Echofon
おっと、今日だったか。N社は高位合成を売りにしてるからなあ RT: @chodenzi: これまた挑戦的タイトルwなインターフェースが本日発売.井倉さん書かれてますな.私は随分アレなこと書いてしまったのでツッコまないでねw http://bit.ly/dMTPBu
13:09 from www.movatwi.jp
昨日の酒が残っててつらい
13:15 from www.movatwi.jp
四ツ谷なう
13:27 from web
チャンカレなう
17:15 from web
はんだづけカフェにぎわっている
17:16 from web
今日は秋月でやまねこさんにばったり遭遇
20:00 from www.movatwi.jp
秋葉離脱
23:55 from Echofon
おかげさまで、私も買えました。私はついでに秋月duino基板もgetしてきました。 RT: @felis_silv: 新しいピンヘッダ買いに言ってたのでした(^^ 20ピン10個セットで180円。
23:55 from web
Aterm WM3500Rの接続試験中
by Sim0000 on Twitter

12月24日(金)のつぶやき

2010-12-25 01:16:34 | Twitter
01:37 from Echofon
Nios II edsがささる
02:44 from Echofon
うーむ、結局10.1のNios II edsが途中で止まって全く動かない状況は改善せず。再インストールしてみたけど駄目だった・・・
13:01 from Echofon
Nios II eds動いた。configulationをまじめにしないといけないみたいだ・・・
13:47 from Echofon
DE1の外部SRAMに挑戦中
18:31 from Echofon
さてと今日はNios充
19:44 from Echofon
これは安い。クリスマスプレゼントかw ZEROPLUSロジックアナライザ(ロジック キューブ)LAP-C(16064) http://akizukidenshi.com/catalog/g/gM-04426/
22:17 from Echofon
スポーツクラブで汗を流してきた。
22:38 from web (Re: @inanzu
@inanzu Of cource you can get EL wire from AdaFruit. http://bit.ly/ebj6Ox
23:19 from Echofon
Quartusのダウンロードから・・・
23:22 from Echofon
windows7にQuartusをいれてみるなど。win7でアルテラのダウンロードマネージャがFirefoxで動かなかったので、Internet Explorerからダウンロード中。
23:32 from web
クリスマスだから、みんなQuartusをダウンロードしてるに違いない。だから遅いんだ・・・
23:40 from Echofon
digikeyもmouserもATAVRXPLAINの入荷日が2011/3以降だw
23:51 from Echofon
あったまりそうな飲み物を飲む。天狗舞
by Sim0000 on Twitter

12月23日(木)のつぶやき

2010-12-24 01:20:51 | Twitter
02:27 from www.movatwi.jp
カラオケなう
02:29 from www.movatwi.jp
放課後てぃーたいむおおすぎだろ
02:31 from www.movatwi.jp
おじさんはぶるーはーかに逃げる
02:32 from www.movatwi.jp
朝まで カラオケっぽい
02:33 from www.movatwi.jp
アニソン充なう
03:08 from www.movatwi.jp
うしろ指さ組ときたか
03:43 from www.movatwi.jp
アニソン奥が深すぎ
04:10 from www.movatwi.jp
うんじゃらげなう
04:20 from www.movatwi.jp
名古屋の歌がとびかってるなう
05:29 from www.movatwi.jp
きたくなう
05:36 from www.movatwi.jp
てか、この時間まで呑んでるのはつらすき
23:26 from web
まっ、魔界? Sim0000の来年の抱負を漢字四文字で表すと【魔界転生】 http://shindanmaker.com/71170 #rainenyaruzo
23:43 from Echofon
@knives_ichimi おお。いいものありました?
by Sim0000 on Twitter

12月22日(水)のつぶやき

2010-12-23 01:20:21 | Twitter
01:01 from Echofon
digikeyでクリスマス向けのイベントを何かやってるらしい。英語が良く分からんw http://bit.ly/g1uuEU
01:02 from web
さもありなんw
Sim0000がクリスマスまでにリア充になれる確率は『0.5%』です。 http://shindanmaker.com/53605
01:12 from Echofon (Re: @chobichan
@chobichan ありゃ、残念><
01:22 from Echofon
けいおん!の年末一挙放送。 http://www.tbs.co.jp/tbs-ch/lineup/a0081.html
06:49 from Echofon
Twitterでつぶやく体重計か。http://shop.coventive.co.jp/shopdetail/004000000001/
15:52 from Echofon
よし、今日は忘年会だ。うさをはらしてくれようw
16:00 from Echofon
この記事か。 マイクロソフト、ARMプロセッサ対応「Windows」を発表か--ブルームバーグ報道 http://japan.cnet.com/news/service/20424413/
16:01 from Echofon
FPGAの部屋さん経由、ISE 12.4が出ている http://japan.xilinx.com/support/download/index.htm
16:02 from Echofon
大阪の人はええなあ。 LPCXpresso LPC1100ハンズオンセミナー http://blog.siliconhouse.jp/archives/51809101.html
18:28 from www.movatwi.jp
忘年会なう
19:34 from www.movatwi.jp
おじさんの集まった席は日本酒のうんちくで利き酒くらすたになってる
20:12 from www.movatwi.jp
一升瓶がどんどん空になっていく
20:13 from www.movatwi.jp
酔っ払いなう
21:30 from www.movatwi.jp
こいつらイカゲ
by Sim0000 on Twitter

12月21日(火)のつぶやき

2010-12-22 01:19:24 | Twitter
00:07 from Echofon
秋月のpickit2って、いつのまにか3500円だ。http://akizukidenshi.com/catalog/g/gM-02508/
00:09 from Echofon
digikeyはpickit2 3258円 http://bit.ly/fDyhcF mouserは3132円 http://bit.ly/fHE4T6
01:42 from Echofon
ブログ書きました。「STM32VL DiscoveryをST-LINKとして使う」 http://bit.ly/eMndbw
01:46 from Echofon
へえ、見てみたいなあ。 RT: @Ohki: ARM、Cortex-M0のIPを公開 http://journal.mycom.co.jp/news/2010/12/20/101/index.html ほうほう。
01:47 from Echofon (Re: @sentoki
@sentoki 150円は安すぎですね。
02:10 from web (Re: @Ohki
@Ohki 確かに><
02:12 from Echofon
SWDの説明のページ http://www.jp.arm.com/products/processors/SWD.html
02:31 from Echofon
虚構新聞に号外が出たのか。http://kyoko-np.net/gougai.html
09:56 from Echofon
2度寝しちまったい
11:22 from Echofon
PDMって、パルス密度変調のことかな
12:31 from web
パルス密度って言うくらいだから、一定時間内に何回パルスがきたか数えるんだろうな
13:16 from Echofon
Amazonでdynabook AZ 25732円か。http://amzn.to/ggCjY7
21:42 from Echofon
死んだので、続きは読めませんでしたw
22:03 from Echofon
RT @tkml: 【速報】【!緊急!】【拡散希望】【RTお願いします】【ニコ技部員でなくてもリツイートを!】【できるだけ多くの技術者に知ってもらいたい】【同僚や友人にも知らせてあげてください】【知らないでは済まない】【RT推奨】【絶対拡散】25日はNT福岡 http:/ ...
22:13 from Echofon
コレステリック液晶なんてのがあるんだ。http://toragi.cqpub.co.jp/tabid/120/Default.aspx
by Sim0000 on Twitter

STM32VLDiscoveryをST-LINKとして使う

2010-12-21 01:40:53 | その他のマイコン
秋月から1100円という激安のARMマイコンキットSTM32VLDiscoveryが発売になりました(通販コードM-04276)

STMicroの製品ページ


※試した範囲では動作しているようですが、同じことをして壊しても責任持てません。


ユーザーズマニュアル(UM0919)の10pageによると、STM32VLDiscoveryは簡単にST-LINKとして動かせることになっています。ST-LINKはSTM8とSTM32用のJTAGデバッガです。秋月でも売っています(通販コードM-04012)。純正品なのに2500円は激安です。でも、STM32VLDiscoveryを使えば1100円でJTAGデバッグできることになります。

ストロベリーリナックスで販売しているSTBeeにつないでみました。

STM32VLDiscovery側は
(1) CN3の2つのジャンパーをはずす
(2) CN2からSWDの線を3本接続する。CN2は以下のようなピン配置です。

pin1 Vdd検知。未接続。
pin2 SWCLK --- STBeeのpin7(TCK)に接続 (写真の緑の線)
pin3 GND ----- STBeeのpin4(GND)に接続 (写真の黒い線)
pin4 SWDIO --- STBeeのpin5(TMS)に接続 (写真の白い線)

STM32VLDiscovery側のCN2です。赤丸のついているところに1pinを表す「1」が描かれています。

pin1のVdd検知ですが、ユーザーマニュアルに載っている回路図を見るとGNDに10kΩを介して接続しているだけみたいなので、接続しなくてもいい(はず)です。

STBee側のCN2です。赤丸のところに1pinを表す▼マークがついています。

pin3のGNDですが、pin4, 6, 8, 10, 12, 14, 16, 18, 20が全てGNDなので、このうちのどれにつないでもいいです。

PA13がTMS(白い線)、PA14がTCK(緑の線)なので、そちらにつないでもいいです(未確認)。

JTAGデバッグしている様子です。



横着してAtollicTrue Studioでしか試していません。True Studioで使うときの注意点は、ST-LINKの設定をJTAGからSWDに変更してやる必要があることです。Runメニュー → Debug Configurationを選んでDebuggerタブのGDB Server Command Line OptionsのラジオボタンをJTAGからSWDに変更します。デフォルトはJTAGになっています。


SWDはJTAGの接続線を節約するために、時分割でJTAGのピンの情報を送信するプロトコルのようです。本質的にはJTAGと同じ情報を伝えているはずですが、時分割な分遅そうです。

gccやEclipseを使った開発は「マイコン徹底入門」のサイトが詳しいです。このページの作者さんが「STM32マイコン徹底入門」という本も書かれています。とてもいい本です。

STM32VLDiscoveryそのものの使い方については、エレキジャックのサイトで「STM32バリュー・ラインを使ってみる」という連載が始まっています。


というわけで、3本つなぐだけで、JTAG(SWD)デバッグができちゃいます。もちろん、STMicroのARMじゃないと駄目です。

ところで、JTAGデバッガとマイコンボードは、どちらの電源を先に入れるのがいいんでしょうか?特にSTM32VLDiscoveryは保護用のバッファICがいるわけではないので、ちょっと怖いです。保護用ということだと、マイコンボードにつなぐラインには100Ω程度のダンピング抵抗をいれてやったほうがいいと思います。

12月19日(日)のつぶやき

2010-12-20 01:15:58 | Twitter
01:17 from Echofon
Quartus II 10.1のweb editionでは、Stratix II EP2S60F672C3はサポートされていない。
01:51 from Echofon (Re: @felis_silv
@felis_silv @munef @I_HaL 結局、web editionでは開発できないFPGAが載っていることが判明しました。製造時に組み込まれているデモを見ながら指をくわえています><。
01:55 from Twitpic
蛇の生殺しw



13:06 from Echofon
$1999のボードに$2999のソフトは、さすがについてこないみたい。でもAlteraはXilinxと違ってサポートしてないデバイスでもsofファイルの書き込みだけはできるから全く使い道がないということでもないみたい。
15:00 from Echofon
RT: @Susutawari: C79にてFPGA技術Vol.6発刊します!これまでで一番ぶ厚くなりましたw 宜しくお願い致します。 http://bit.ly/bHeeRm
15:04 from Echofon
おおっ、それだっ!って、かなり敷居高そうw RT: @morecat_lab: @Sim0000 自分でコンパイラを作る。というアイデアはどうでしょうか?OSSもので無いのかな?
21:48 from Echofon
細いってことみたい。新しいピンヘッダ http://akizukidenshi.com/catalog/contents2/new-pinheader.aspx
by Sim0000 on Twitter

12月18日(土)のつぶやきその2

2010-12-19 01:16:44 | Twitter
19:44 from Echofon
そのうち、はんだづけカフェとかで、ブートローダ書き込みオフとかが開催されるのに違いないw
19:45 from Echofon (Re: @minicube
@minicube 8円契約終了に向けて、一気に在庫を処分するつもりなのではないかという話を聞きました。
20:19 from Echofon
無事、動いてるみたいです。 RT: @felis_silv: 動作確認がドキドキ(^^;;
20:21 from Echofon
やまねこさん(@felis_silv)の共同購入イベントです。 RT: @ytsuboi: @minicube 行けばよかった。どなたが配賦してらっしゃたんですかー?
20:31 from Echofon
ええ、幸運ゲージが真っ赤になりましたw RT: @felis_silv: え~!凄過ぎですね!さすが来年の運を先払いしただけはある(^^; RT @Sim0000: 無事、動いてるみたいです。 RT: @felis_silv: 動作確認がドキドキ(^^;;
22:02 from Echofon
RT: @rundog34: 八潮秋月に宇宙開発仕様のサーミスターとかダイオードとかトランジスタとかあった。
22:03 from Echofon
サウナ入って、さっぱり。これからアルテる。
22:19 from web
あ、Quartus IIって、いつのまにか10.1になっていたのか。
22:25 from Echofon
確か以前、AVRで8080エミュレータ書いて、CP/Mを動かしていたツワモノがいました。 RT: @iqOyOpi: @lynxeyed_atsu AVRでCP/M80走る?
23:04 from Echofon
@iqOyOpi @lynxeyed_atsu 元ネタは、4月頃あったエレキジャックフォーラムで発表されたCP/Mega88です。私はその後あったオリフェスで見ました。ぐぐると、この方です。http://bit.ly/aXBitb
23:06 from Echofon
@iqOyOpi @lynxeyed_atsu オリフェスで撮った写真です




by Sim0000 on Twitter

12月18日(土)のつぶやき

2010-12-19 01:16:43 | Twitter
01:03 from web
ヒートテックに心ひかれる夜・・・
11:48 from Echofon
家でぐだぐだしてるくらいなら、秋葉散策するか
13:09 from www.movatwi.jp
四ツ谷なう。チャンカレに行く。
13:40 from www.movatwi.jp
うむ、満腹
13:45 from www.movatwi.jp
四ツ谷上空に飛行船発見
13:48 from www.movatwi.jp
秋葉原に向かう
14:17 from www.movatwi.jp
いしかわきょうすけさん、ご紹介のFPGAボードを買ってしまった
14:50 from web
うーむ、荷物が大きすぎて身動きがとれないw
14:55 from web
はんだづけカフェは人がいっぱいみたいだ
15:01 from Echofon
もしかしてArduino互換? ATMEGA168/328用IOボード http://akizukidenshi.com/catalog/g/gP-04399/
15:09 from web (Re: @chodenzi
@chodenzi 血液型とか、左脳右脳みたいなノリですねえ>理系文系
15:57 from web
3331なう
16:43 from web
Yunaさんのチップ部品はんだづけ講座。勉強になるー
17:50 from www.movatwi.jp
はんだづけカフェ離脱
18:51 from www.movatwi.jp
川崎なう
19:05 from Echofon
こちらこそ楽しかったです。ありがとうございました。 RT: @munef: 初めてのはんだづけカフェ、めちゃくちゃ楽しかった! お話頂いた皆さま、本日はどうもありがとうございました。
19:09 from Echofon
スイッチサイエンスさんで350円みたいですね。 RT: @tokoya: これであとは秋月がArduinoのブートローダ書き込み済みAtmegaを売り出せば、Arduino作るためのパーツが秋月だけで揃う。
19:10 from Echofon
秋月で聞いたところ、来週火曜日以降に入荷だそうです。 RT: @tokoya: 手持ちのUSBシリアルモジュールが使えるのは良いな。USBシリアルチップがオンボードよりも、別モジュールで使い回せた方が安く済むし。
19:12 from Echofon
RT @kimio_kosaka: 秋月Arduino(eJackino)クローン基板は1枚目にUSBシリアル載せた「親」を作り,2枚目以降のUSBシリアルを載せない「子」と『へその緒』(X4端子のTX-RXをクロス,RST-RSTをストレート)で接続して,親経由で子にス ...
19:14 from web
Nios II Development Kit、開けてびっくり、USB Blasterの純正品が入ってた。いしかわきょうすけさんに大感謝。
19:17 from web
はんだづけカフェの入出簿。誰かが間違えて私の所に退出時間を書いていた。
19:30 from Echofon
ええ、今年の運をつかいはたしました。 RT: @I_HaL: うぅ。それにしても、@Sim0000さんの購入された、アルテラの評価ボード5000円は安いなぁ。
19:32 from Echofon
秋葉に最後のIS01が入荷してるとのこと。7000円くらい。うわさの8円契約は明日まで。
19:34 from Echofon
その昔、エイサスと呼んでて馬鹿にされたことがあったが、時代を先取りしてただけなのかw 動画:「ASUS」の正しい読みかた http://japanese.engadget.com/2010/12/17/asus/
19:38 from Echofon
確か端末の値段と聞いたような気がするので、手数料込みだと、そんなものでしょうか。 RT: @minicube: 新規で手数料込み1万かな?
by Sim0000 on Twitter