Sim's blog

電子工作はじめてみました

11月19日(金)のつぶやき

2010-11-20 10:22:08 | Twitter
gooがクロールしてくれなかったので手動で貼ってみました。

効率を考えると、事業仕分けは額の大きな所から手をつけた方がいいと思う。ちまちまやっても、らちがあかん。
posted at 00:10:56

そういやActelって買収されたんだっけ。 Microsemi,65nm世代のフラッシュ・ベースFPGAプラットフォームを発表 http://techon.nikkeibp.co.jp/article/NEWS/20101118/187497/
posted at 00:13:26

たしかにXBeeって、色々あってどれにすればいいのか、よく分からない・・・
posted at 00:18:34

秋月でもXBeeモジュール発売。Zigbee対応版2種類。http://akizukidenshi.com/catalog/g/gM-04220/ http://akizukidenshi.com/catalog/g/gM-04221/
posted at 15:34:02

QFP44pinをDIPに変換する変換基板。CPLDとかも載るのかな?載るICの大きさも知りたい。http://www.aitendo.co.jp/product/2624
posted at 15:35:33

QFP44pin変換基板は、0.5mmピッチです。
posted at 15:36:06

二次会なう
posted at 20:29:25

もう食えない。肉充
posted at 20:44:21

飲み会というよりは食い会だった
posted at 22:30:35

ありゃ、そうなんですね。うーむ残念。 RT: @felis_silv: CPLDとか(XC9572XLとか)、44ピンQFPって0.8mmピッチが多いような気がしますけど・・・どうなんだろ?
posted at 23:04:23


11月18日(木)のつぶやき

2010-11-20 10:17:46 | Twitter
gooがクロールしてくれなかったみたいなので手動で貼ってみました。

おっ、出るんだ。 Make: Electronics――作ってわかる電気と電子回路の基礎 http://www.oreilly.co.jp/books/9784873114774/
posted at 00:01:16

確か、翻訳前の本ははんだづけカフェで見たはず。
posted at 00:01:50

うーむ、100Mちょいのファイルなんだけど、うまくダウンロードできない。70Mくらいでセッションがきれる・・・。明日の朝やりなおしてみる。
posted at 00:43:37

おお、ふとっぱら。申し込みが必要だそうです。MTM06会場での(大体)無償配付品一覧 その1 #mtm06 http://hamayan.blog.so-net.ne.jp/2010-11-16
posted at 14:34:09

11月17日(水)のつぶやき #goo_sim00 http://blog.goo.ne.jp/sim00/e/03f6373a1451868fdccece8cdb962db5
posted at 23:44:34

電源電圧を監視して、低すぎるとリセットをかけるということかな。 #mycomj http://j.mp/aFFXLx ADI、マイクロプロセッサ/FPGAのモニタリング向け高精度両電源監視ICを発表
posted at 23:56:41


キーワードベスト20 その8

2010-11-19 22:59:59 | その他

キーワードベスト20 その7の続きになります。

gooあしあとでは、アクセス解析としてどんなキーワードを検索してこのブログに飛んできたかの統計をとっています。過去90日分なので90日毎にログを残しています。
8回目ということで631日~720日の分です。

 1 at90usbkey                      1,205
2 mbed 301
3 ATMEL AT90USBKEY 268
4 USBコネクタ ピン配置 261
5 エジソンプラザ 214
6 NETDUINO 213
7 ht7733a 209
8 PIC18F2550 183
9 SG12864A 175
10 sim's blog 169
11 stm8s-discovery 169
12 RTC-8564NB 165
13 tl431 145
14 FT2232 136
15 Atmel AT90USBkey 129
16 AT90USBKEY 126
17 Arduino i2c 120
18 FT2232D 107
19 LCDオシロスコープキット 99
20 LTspice トランス 94
21 PSoC3 93
22 usb ピン配置 93
23 78K0 89
24 de0 86
25 avr 割り込み 84
26 led 電池 84
27 usb コネクタ ピン配置 84
28 1.5v led 81
29 ATMEL AT90USBKEY 75
30 ZY-FGD1442701V1 74
31 AD-12864-SPI 68
32 AT90USBKEY 通販 68
33 LPC2388 68
34 無安定マルチバイブレータ 原理 68
35 avr タイマー割り込み 67
36 h-jtag 64
37 ft232rl 使い方 63
38 HT7733A 63
39 lm73 62
40 EZ430-CHRONOS 61

やたらとAT90USBKEY関連が多いです。PS3をjail breakしたい人がいっぱいいたということでしょうか。

アクセスカウンタは、閲覧1,370,758PV、訪問者452,585IPとなっていました。

最近はまともな更新がなく申し訳ないです。

 


11月16日(火)のつぶやき

2010-11-17 02:19:58 | Twitter
01:07 from Echofon
RT: @make_jp: プログラムガイド(会場マップ+出展者情報) 当日配布するプログラム(会場マップ+出展者情報)が出来ました。 PDFファイルへのリンク(FTP)、約3M http://bit.ly/c3yLkw #mtm06
19:15 from Echofon
Netduino miniかあ。早く実物が見てみたい。
19:17 from Echofon
Netduino miniのページ http://www.netduino.com/netduinomini/specs.htm
by Sim0000 on Twitter

11月15日(月)のつぶやき

2010-11-16 02:16:45 | Twitter
13:21 from Echofon
nios2edsの10.0sp1をインストールするには、quartus10→nios2eds10→quartus10sp1→nios2eds10sp1の順にインストールしないといけない。10なしでもsp1単独でインストールできればいいのに。
13:23 from Echofon
Nios2でSDRAM使えた。以前できてたはずなのに、記録に残してなかったから、かなり右往左往した。ブロックRAMもちょっとだけ使ったけど、ないとだめなのかな。
13:26 from Tweet Button
うーむ、次から次へと・・・
脆弱性:Javaへの攻撃がPDFファイルによる攻撃を上回る http://t.co/sLGfCtk via @cnet_japan
13:46 from Echofon
健康診断で血を抜かれた。すごく損した気分になる。せっかく食べ物を食べて増やしたのにw
22:57 from Echofon
しまった、血の増えそうな晩飯にすればよかったw RT: @kim2yan: 古い血を除去してもらい新しい血を作るスペースを作ってもらったのです。
22:58 from Echofon
11/11はあずにゃんの誕生日だったのか。そちら系の人は大騒ぎだったんだろうな。
23:11 from web
あはは、脳天気だ。
Sim0000さんの心は叫んでいます、「これでいいのだ~」 http://shindanmaker.com/62050 #kkbe2
23:19 from web
うむ、お約束だ。ジェイソンに殺されるのか。 Sim0000の死亡フラグは『男女4~5人で湖畔の別荘に泊まる』です。 http://shindanmaker.com/62044 #sbflg
by Sim0000 on Twitter

11月14日(日)のつぶやき

2010-11-15 02:14:24 | Twitter
00:12 from Echofon
Quartus IIを9.1にダウングレードしたくなってきた。何がいけないのか分からない><
00:12 from Echofon
Alteraの古いバージョンのアーカイブ場所 https://www.altera.com/download/archives/arc-index.jsp
00:13 from Echofon
とかいいながら、9.1のSP1はないのか・・・。圧縮バグは9.1と9.1SP2には存在して9.1SP1にはなかった。
00:20 from Echofon
Quartus IIの古いファイルはここから落とせるらしい。ダウンローダがなさそうなので、不安といえば不安。ftp://ftp.altera.com/outgoing/release/
02:05 from Echofon
9.0sp1のダウンロード完了。これからインストール
02:05 from Echofon
ちがう。9.1sp1だ
07:11 from マイコミジャーナル ログイン
あはは、なんでもありかいw #mycomj http://j.mp/9y9CS5 【萌え解説本】美少女イラストでエクセルを分かりやすく
by Sim0000 on Twitter

11月13日(土)のつぶやき

2010-11-14 02:14:06 | Twitter
10:52 from Echofon
よく寝た
11:21 from Echofon
提供しているサービスを受ける可能性があるだけで代金を請求していいのか。アダルトサイトの架空請求みたいなものか。これからはNHK商法と呼ぶことにしよう。
13:19 from Echofon
Quartusで遊んでるけど、なんかうまくいかないや。気分転換に秋葉でも行くか・・・
13:35 from www.movatwi.jp
金おろさないと。
13:56 from web
みのり先生のアイコンが変わってることに今更ながら気づいた。
13:57 from web
何も考えずに東海道線に乗ったけど、さてどうしようw
14:00 from web
東海道線はwimaxつながるからいいな。7.8Mとかでてる
14:04 from web
そういや、腹減った。何も食べてないや。
14:04 from web
おっと終点だ
14:16 from www.movatwi.jp
あきばなう
14:23 from www.movatwi.jp
久しぶりに来たら駅前がずいぶん変わっていて驚いた
15:47 from Echofon
足が2本のタクトスイッチかあ。ブレッドボードによさそう http://sengoku-akb.jugem.jp/?eid=223
15:50 from web
秋月近くのドトールなう 11Mbpsでてるらしい
15:55 from Echofon
Pch MOSFET BSS84かあ。11/12発売だとまだ入荷してないんだろうな。http://akizukidenshi.com/catalog/g/gI-04269/
15:57 from web
トラ技がなくなると困るので、色々なアンケートでよく読む雑誌には必ずトラ技をいれるようにしている。
16:03 from Echofon
12/16になってるけど実際はいつなんだろう http://toragi.cqpub.co.jp/tabid/392/Default.aspx RT: @hmori: CQの24bit ADC付きマイコンはいつ出るんだろう
16:04 from Echofon
結局、秋葉に来てるのに喫茶店でぼーっとしているw
18:30 from Echofon
ええ、満喫してきました。 RT: @sentoki: それが最高の贅沢かも。UDX上島珈琲から京浜東北線を眺めたりw RT @Sim0000: 結局、秋葉に来てるのに喫茶店でぼーっとしているw
18:30 from Echofon
店頭で確認したら、まだ入荷していませんでした。 RT: @espilab: RT @Sim0000 Pch MOSFET BSS84かあ。11/12発売だとまだ入荷してないんだろうな。http://akizukidenshi.com/catalog/g/gI-04269/
18:33 from web
千石に青色の16セグLEDがあった。めずらしいので試しに買ってみた。1個300円。赤は200円。
18:34 from web
しまった。あっぱよんに行ってみようと思ってたのをすっかり忘れていた。
18:46 from web
もう川崎だ。
18:47 from web
京浜東北線だけど、11Mbpsでている>wimax
22:41 from Echofon
千石の16セグLED(青)の販売ページ。データシートもダウンロードできる。 http://bit.ly/cP1udi
by Sim0000 on Twitter

11月12日(金)のつぶやき

2010-11-13 02:16:21 | Twitter
07:00 from Echofon
今日は一日つらそうだ・・・
07:10 from Echofon
DE0のSDRAMのクロックはPLL3_CLKOUTp(PIN_E5)というピンにつながっている。
08:01 from www.movatwi.jp
@inanzu たしかクレイジーキャッツ
20:50 from www.movatwi.jp
疲れた。足が棒のようだ
21:41 from Echofon
いよいよ出版。 RT: @hmori: マイコン徹底入門てCQからIF増刊として出るのか。しかもPDFリンク無いし http://bit.ly/d9aY7Q
21:42 from Echofon
って、「別冊CQハムラジオ増刊」(発売日2011年2月25日予定)のご案内「ARMマイコン・ボードmbedチュートリアル」なんてのもあるー
by Sim0000 on Twitter

11月11日(木)のつぶやき

2010-11-12 02:16:14 | Twitter
17:00 from Echofon
Cortex-M3 / PSoC5 Design Challengeが開催されるとか。http://www.cypress.com/?id=2409
18:12 from Echofon
Propeller+W5100のボード。Spinneret Web Server http://www.parallax.com/SpinneretGeneralInformation/tabid/901/Default.aspx
18:28 from Echofon
mbedからPSoC5まで解説されている?トラ技増刊「ARMマイコン」http://shop.cqpub.co.jp/hanbai/books/MTR/MTRZ201012.html
by Sim0000 on Twitter

11月10日(水)のつぶやき

2010-11-11 02:14:30 | Twitter
00:52 from Echofon
電波新聞社からRXマイコンの本が出てる。「RXマイコンのすべて 基礎編」 http://amzn.to/b6KFjS
18:31 from Echofon
おつかれさまです。 RT: @noritan_org: 東京駅、新幹線ホーム。今から乗る電車は、「はやて」でも「やまびこ」でもなく、「のぞみ」です。
19:38 from Echofon
トランジスタ2個! 初心者の人の練習なんかにいいかも Terror-Minテルミンキット http://eleshop.jp/shop/g/gAB511C/
21:52 from Echofon
トラ技の555号買ってきた。NE555の設計者のインタビューが載ってる
by Sim0000 on Twitter

11月9日(火)のつぶやき

2010-11-10 02:17:55 | Twitter
20:02 from Echofon
OLEDとキャリーボードの2点セット復活!! http://www.aitendo.co.jp/product/2099
20:04 from Echofon
バリコンのパターンのついた基板。他のパターンは何に使えるのかな。ユニバーサル基板forラジオ http://www.aitendo.co.jp/product/2701
20:13 from Echofon
PIC18F14K50とのこと。「今すぐ使える! センシングUSB マイコン基板」 http://toragi.cqpub.co.jp/tabid/392/Default.aspx
20:14 from Echofon
トラ技の年間購読特典。MSP430基板 http://toragi.cqpub.co.jp/tabid/395/Default.aspx
by Sim0000 on Twitter

11月8日(月)のつぶやき

2010-11-09 02:27:19 | Twitter
07:43 from Echofon
$20っすか。安いですね。 RT: @morecat_lab: AdafruitのAVR(32U4)ボード。Teensyと同じ32U4で32KBもメモリあるし、TeensyにはないISPコネクタもあるし。これで十分じゃないか? http://bit.ly/bH8Faz
17:49 from Echofon
MachXO2とな。Lattice Semiconductorが低コスト市場向けFPGAの新製品を発表,待機時電力を3ケタ低減へ http://techon.nikkeibp.co.jp/article/NEWS/20101108/187211/
23:56 from Echofon
So-netブログ死んでるのかな
by Sim0000 on Twitter

11月7日(日)のつぶやき

2010-11-08 02:15:07 | Twitter
00:27 from web
日本シリーズ、引き分けだったのか。まさに死闘
00:44 from Twitpic
digikeyから頂いたアイテム。帽子とかボールペンとか。


05:51 from Echofon
ブログ書きました「DE0でNios IIのROM化」 http://bit.ly/clD8MW
13:52 from Tweet Button
もうノートPCに重くてかさばるACアダプタは必要無い! 「ACアダプタレス」を実現する技術を大分大学が開発 http://t.co/k2nKnGo via @gizmodojapan
23:28 from Echofon
うはっ、これはすごい RT: @sonson1919: 注文してたInterfaceが届いていたので開封してみるなど かなり重い・・・。 http://picasaweb.google.com/HKHacks/Img_temp#5536808086243448386
by Sim0000 on Twitter

DE0でNios IIのROM化

2010-11-07 05:48:39 | FPGA
すんさんの記事「terasic DE0基板 - NiosII/eのROMブート」に刺激されて、ROM化をやってみました。すんさんは、terasicのサンプルのプロジェクトを再利用することで実現されています。ここではゼロからプロジェクトを作ってやってみました(以前書いた記事「NIOSを使ってみました(1)」、「NIOSを使ってみました(2)」)。

思いっきり手抜きで申し訳ないのですが、分かる人にだけ分かるというか、重要そうな画面だけをピックアップしています。詳しくは「Flash Controllerを使ってみよう」を参照してください。
画像はクリックすると拡大します。

(1) SOPC Builderの設定では、EPCS Flash Controllerを追加してやります。


(2) SOPC BuilderのNios IIの設定では、Reset VectorをEPCS Flash Controllerにします。


(3) Quartus IIのデバイスの設定では、Dual-Purpose Pinsの設定でDCLK、DATA[0]、DATA[1]/ASDO、FLASH_nCE/nCSOの4つをUse as regular I/Oに変更します。この4つのピンは通常はProgram用ですが、普通のI/Oとして使えるように設定しています。

注意点としては、Quartus IIの10.0はバグのため、Dual-Purpose Pinsの設定ができません。10.0 sp1にアップグレードする必要があります。また、10.0 sp1にもバグがあって、okを押して上のダイアログを閉じて、もう一度開くと値が元に戻ってしまいます。実際は反映されています。
直接.qsfファイルに以下を追加してやる方法もあります。
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"

(4) Quartus IIのPin Plannerの設定です。Flash Controller用のピン配置はasdo(PIN_D1)、dclk (PIN_K2)、data0 (PIN_K1)、ncse(PIN_E2)です。DE0に載っているEP3C16F484のピン配置は、Alteraの「アルテラ デバイス用 ピンアウト・ファイル」というページからダウンロードできるEP3C16.pdfに載っています。


(5) verilogのソースコード(main.v)です。
`default_nettype none
module main(
output [9:0] led,
output dclk, nsce, asdo,
input data0,
input reset_n, extclk
);

nios nios_inst(
// reset
.reset_n (reset_n),

// clock
.extclk (extclk),

// altpll
.clk (),
.locked_from_the_altpll (),
.phasedone_from_the_altpll (),

// LED (GPIO)
.out_port_from_the_led (led),

// Flash Controller
.data0_to_the_epcs_flash_controller (data0),
.dclk_from_the_epcs_flash_controller (dclk),
.sce_from_the_epcs_flash_controller (nsce),
.sdo_from_the_epcs_flash_controller (asdo)
);

endmodule

(6) Nios II eds用のCのソースファイル(Lチカ)です。
#include "system.h"

#define led (*(unsigned *)LED_BASE)

int main()
{ 
    volatile unsigned t;

    while (1){
        for(t = 0; t < 50000; t++) ;
        led++;
    }
}

(7) Nios II Flash ProgrammerでDE0に載っているEPCS4にプログラムを書き込んでやります。

あらかじめ、Quartus IIのProgrammerから.sofファイルを書き込んでおく必要があります(Flash ProgrammerはNiosと通信してFlashに書き込むため)。
Flash ProgrammerはNios II EDSのProject Explorerの中のプロジェクト名を右クリックしたメニューから起動します。
追加するファイルは.elfと.sofに2つです。


以上で、EPCS4にはFPGAに書き込む回路とNios IIが実行するプログラムの2つが書き込まれて、電源オンで実行できるようになります。
Quartus IIのバグに悩まされましたが何とか動かすことができました。
今のところ解決していないのはNios II edsでワークスペースの場所を移動できないことです。インストールしたデフォルトの場所にしかプロジェクトを作成できていません。