Sim's blog

電子工作はじめてみました

12月15日(火)のつぶやき

2015-12-16 03:10:08 | Twitter

@noritan_org CMSIS-DAPって、ARMじゃなかったでしたっけ?
アカウントがあるとアクセスできるページ
CMSIS-DAP Debug Interface Standard
silver.arm.com/browse/CMSISDAP


@fslasht 先祖がえり?とか思っちゃいました。スティックになるくらいだから、キーボードには余裕で入りそうですね。そのうちマウスにPCが・・・


BeMicro SDK (Cyclone IVのFPGAボード)につながるSDR(ソフトウェア無線)ボード。ADは10Msps。
BeRadio
arrownac.com/solutions/bera…

1 件 リツイートされました

[blog] KM-BASIC for MZ80K/MZ-700 ver 0.8を公開: Z80用KM-BASICのver 0.8を公開しました。vector.co.jp/soft/other/ot... bit.ly/1XZR6mG

Simさんがリツイート | 5 RT

メモ
古川享氏がパソコン秘史を綴った書籍発刊、Episode 1としてアスキー時代 -INTERNET Watch internet.watch.impress.co.jp/docs/news/2015… @internet_watchさんから


2016年1月中旬に発売予定、価格は2万円台とのこと。
キーボードにPC本体を内蔵した「キーボードPC」、テックウインドが1月発売 -INTERNET Watch internet.watch.impress.co.jp/docs/news/2015… @internet_watchさんから



12月14日(月)のつぶやき

2015-12-15 03:08:25 | Twitter

厚労省が「所得が低い人は栄養バランスのよい食事をとる余裕がなくなっているのではないか。食事の内容を見直すなど健康への関心を高めてほしい」
清太「滋養なんて、どこにあるんですか!」

5 件 リツイートされました

今週の金曜(12/18)土曜(12/19)に秋葉原アセンブラージュにてLEDが光るUVレジンアクセサリーワーシップを行います。お時間ご興味有りましたらぜひぜひご参加下さい(^^) assemblage.tokyo/workshop-2/now… リンク先の日付は先月分ですね(^^;;

Simさんがリツイート | 1 RT


12月13日(日)のつぶやき

2015-12-14 03:13:29 | Twitter

Sim0000は『7、7、9、4』をつかって10にしてください。
shindanmaker.com/217387
-7 / 7 + 9 + √2


@jujurou ありがとうございます。って、すっごいシンプル^^


トラ技2016年1月号「情熱のトランジスタ回路」のオフ会「俺のフルディスクリート自慢大会」を2016年1月に開催予定です.
特設サイトはこちら
-- toragi.cqpub.co.jp/tabid/791/Defa…

Simさんがリツイート | 25 RT

雨降ってて、遠足に行けないw


新しい問題も出ないし、Inside Blockの昔の回答をリファクターした。#checkio


劇場版傷物語は全三部作。2016/1/8ロードショーとなっている。
傷物語公式サイト
kizumonogatari-movie.com

1 件 リツイートされました

終物語は上中下の三冊だったけど、アニメは11話まで進んで中巻の終わりあたり。2クール目に進むのか?

1 件 リツイートされました

@rerofumi
一応、こんなのとか。
片面SMDプロトタイピング基板
akizukidenshi.com/catalog/g/gP-0…
SMDプロトタイピングガラスユニバーサル基板
akizukidenshi.com/catalog/g/gP-0…



12月12日(土)のつぶやき

2015-12-13 03:17:48 | Twitter

Sim0000は『2、3、0、1』をつかって10にしてください。
shindanmaker.com/217387
(2 + 3) * (0! + 1)
2 + 3! + 0! + 1
2 * (3 + 0! + 1)


更新:Lightningケーブルの断線防止プロテクターに新色が登場 ブルーとピンク akiba-pc.watch.impress.co.jp/docs/news/news… pic.twitter.com/CA3sa8vvt8

Simさんがリツイート | 38 RT


12月11日(金)のつぶやき

2015-12-12 03:11:28 | Twitter

せっかく12桁の番号をもらったのに素数じゃなかったw

1 件 リツイートされました

Sim0000は『9、2、5、2』をつかって10にしてください。
shindanmaker.com/217387
9 - 2 + 5 - 2



12月10日(木)のつぶやき

2015-12-11 03:12:31 | Twitter

10日なのでトラ技の発売日。今月の特集は「技100選! 情熱のトランジスタ回路」。別冊付録は「バーチャル電子工房 LTspice使いこなし事典」
toragi.cqpub.co.jp/tabid/792/Defa…
ディスクリート555が気になるw


2015年は
明治147年
大正105年
昭和90年
平成27年


@akiyoshi_kamide あ、明治元年は1867年ですね。1868年と勘違いしてましたorz
2015年は明治148年!


@akiyoshi_kamide 明治元年自体は1868年で、引いてから1足すのを忘れてましたorz



12月9日(水)のつぶやき

2015-12-10 03:10:39 | Twitter

Sim0000は『1、2、0、9』をつかって10にしてください。
shindanmaker.com/217387
-1 + 2 + 0 + 9


LTE搭載なのが気になる
PC向けの独自SIMプランも用意:「VAIO S11」発表――SIMフリーLTEに最適化した11.6型モバイルノートPC (1/3) - ITmedia PC USER itmedia.co.jp/pcuser/article… @itm_pcuserさんから

1 件 リツイートされました

NXPとfreescaleの合併に一番期待することは、FRDMシリーズのマイコンボードが秋月で販売されるようになることですwww



12月8日(火)のつぶやき

2015-12-09 03:11:42 | Twitter

Sim0000は『8、5、5、6』をつかって10にしてください。
shindanmaker.com/217387
(8 - 6) * √(5 * 5)


「秋葉原電気街でいちご狩りを♪ こどもPC 「IchigoJam」体験ツアー 」adt.moe/tour/bd

Simさんがリツイート | 2 RT

今まで見たことのなかった5個グリフcreate new future see allに出会った。
もしかして新グリフ? #ingress


開発担当「『ポータブック』の最大の特長はキーボード。スライドアーク キーボードは、12インチPCに匹敵する18mmのキーピッチと、打ち心地のよい1.5mmのキーストロークを持つフルキーボード」 pic.twitter.com/VJ2aRpyiNo

Simさんがリツイート | 930 RT


12月7日(月)のつぶやき

2015-12-08 03:07:14 | Twitter

よく知らないで勝手なこと言うけど、並列処理記述言語とかでハードウェア記述ってできたりしないのかな。いや、そもそも並列処理記述言語ってどんなのがあるかさえ知らないわけなんだけどw


Sim0000は『9、7、9、7』をつかって10にしてください。
shindanmaker.com/217387
√(9 * 9) + 7 / 7
9 + ((7 - 7) * 9)!


『キャストパズルカフェ in 楽器カフェ』のご案内です。ワンドリンクオーダーで、店内のキャストパズルを自由に遊んでいただけます。
12月11日(金)12時~19時 12日(土)11時~14時 13日(日)12時~19時
【楽器カフェ】gakki-cafe.com

Simさんがリツイート | 11 RT

Ubuntu 14.04の仮想ハードディスクイメージを試している。
ctrl + alt + Tで端末が開く。


今年もaitendoの福袋の案内がきた。
aitendo福袋(2016)発売のお知らせ
aitendo.com/news-detail/162



12月6日(日)のつぶやき

2015-12-07 03:08:41 | Twitter

とりあえず、firefoxにnoscriptをいれてみたw


Sim0000は『1、6、1、6』をつかって10にしてください。
shindanmaker.com/217387
-1 + 6 - 1 + 6


昨日行った24連続ミッション「東都名所 高輪之夕景」#ingress
goo.gl/Fl7x7L
Trekkerで14km。目黒駅から白金高輪駅。時限ポータルなし。 pic.twitter.com/wXuuhllEhl

1 件 リツイートされました

昨日は久々に連続アートミッションに行った。11/15以来w
AP2倍期間はアイテムも2倍だったので、連続ミッションをやるとインベントリがあふれて、にっちもさっちもいかなくなるw


Verilog-HDLやるぞー。 Icarus Verilog のダウンロードは ftp://icarus.com/pub/eda/verilog/v0.8/ から。

Simさんがリツイート | 1 RT

@kairoshi 65536は掛け算しなくても覚えてるだろ、とか画面にグーで突っ込みを入れてましたw


@kairoshi 動機とか不明なことも多いので来週が楽しみです。


@yone2_net libjpegとかって、mbedじゃ動かないんでしょうか?


100円ショップで買ってほとんど使ってなかったクリップライト、中のボタン電池x3個が接触不良気味だったから、ジュールシーフ回路に改造したったw これなら使い古しの電池を有効活用しながら反射板も活用できる。 pic.twitter.com/9PXiNUkI07

Simさんがリツイート | 2 RT

@go420722 他の問題の正答率に一切触れていない点で不公正な報道に見えますね。ある特殊な例を殊更に取り上げて煽るいつものやり方なんでしょうねw



12月5日(土)のつぶやき

2015-12-06 03:13:54 | Twitter

Sim0000は『8、3、3、2』をつかって10にしてください。
shindanmaker.com/217387
8 + 3 - 3 + 2


修理に出していたタブレットが戻ってきた。マザボ交換したらしい。


lzhやrarも解凍できる。win7からなくなったパスワード付zipもok
64bitにも対応している。とにかく、お勧めw twitter.com/gigazine/statu…

1 件 リツイートされました

響け! ユーフォニアムやってから、先期と今期は京アニなかったけど、来期はあるみたい。
無彩限のファントム・ワールド
phantom-world.com



12月4日(金)のつぶやき

2015-12-05 03:09:33 | Twitter

Sim0000は『6、6、0、4』をつかって10にしてください。
shindanmaker.com/217387
6 + 6 * 0 + 4
6 + 6 + 0 - √4


MAX10を搭載したFPGAボードDECA。$169
arrow.com/en/products/de…

1 件 リツイートされました

基板にはTerasicと書いてあるけど、Terasicのサイトでは見当たらない(ような気がする)w


DNPはDo Not Populateの略。実装されていないという意味らしい。



12月3日(木)のつぶやき

2015-12-04 03:11:26 | Twitter

Sim0000は『5、9、6、7』をつかって10にしてください。
shindanmaker.com/217387
5 + 7 - 6 / √9


昨日のARM TechSymposiaはIoTセキュリティ関連の発表が多かった。最終セッションは6件中3件がセキュリティ関連だった。どうせなら、かぶらないようにばらばらにしてほしかった。
#armtsjp


昨日のARM TechSymposiaの展示でXilinxはZynq® UltraScale+™ MPSoCのファーストシリコンを載せたFPGAボードを展示していた。ヒートシンクなしだったけど、話を聞くとGPUとかFPGAを使うときは冷やさないと駄目とのこと。
#armtsjp


たしかET2015にザイリンクスブースがなかったので、Zynq MPSoCが見れてよかった。
#armtsjp


うは、それはそれで見たかったw
「シュタインズ・ゲート」の再放送23話でストーリー分岐が発生し新展開 紅莉栖を救えなかった世界線へ - BIGLOBEニュース news.biglobe.ne.jp/entertainment/…

1 件 リツイートされました

@marsee101 昨日のARM TechSymposiaでは2016の1QにESが出るとの話でした。Zynq MPSoC。A53が4つRが2つでGPU(MALI)まで載ってる化け物だそうですw


おおざっぱにARM TechSymposiaであったARMからのプレゼン資料はARM TechConのプレゼン資料で見れる。
goo.gl/dyOh0y
#armtsjp


またですか、、迷惑な話です。RT @hakuto_tabito: @daitojimari政府が公式に「禁止」を表明してないしおかしいと思ったら、案の定朝日新聞の飛ばしでした。>蛍光灯、白熱灯の製造禁止報道についてjlma.or.jp/information/20…

Simさんがリツイート | 549 RT

新作ゲームの宣伝ということみたいだ。
アニメ『シュタゲ』再放送版第23話での世界線変更により第24話は放送なし! 特別番組が予定 dengekionline.com/elem/000/001/1… @dengekionlineさんから

1 件 リツイートされました


12月2日(水)のつぶやき

2015-12-03 03:12:45 | Twitter

型番まちがってるw
AY-3-8912ですた。
1レールに15個入ってました。 pic.twitter.com/O8f411iBrD

1 件 リツイートされました

@maris_HY ダンボールにいっぱいありました。もう一つのマルツでは別のチップをレール売りしてました。こっちはサウンドと書かれてたので買ってみました^^


Sim0000は『9、4、9、0』をつかって10にしてください。
shindanmaker.com/217387
√9 + 4 + √9 + 0


おおっ、これは期待!
漫画家・小林まことさんと元五輪金メダリストがタッグ! 新連載「女子柔道部物語」を2016年夏にイブニングで - ねとらぼ nlab.itmedia.co.jp/nl/articles/15… @itm_nlabさんから