ALH84001

私的コラム&雑記(&メモ)

最近の気になった話題(2021年第25週)

2021-06-27 | 興味深かった話題

AMD Ryzen Embedded V3000はRembrandtがベース?

AMD Ryzen Embedded V3000 SoCs Based on 6nm Node, Zen 3 Microarchitecture -  techPowerUp!

 Zen3世代Ryzen Embeddedのウワサが報じられている。ウワサによると"Cezannne"系ではなく今年後半に登場予定の"Rembrandt"系になるのだという。

  • 最大8 Cores / 16 Threads
  • PCIe 4.0 20レーンレーン(dGPU用はx8)
  • DDR5-4800 4ch(ECC対応)
  • 10G Ethernet x2
  • USB 4.0 x2
  • TDP 15-30 Wと35-54 Wのモデルがある
  • iGPUはRDNA2 12 CUs

 Zen3 CPUコアとRDNA2 GPUの組み合わせなどは"Rembrandt"のスペックとして以前から報じられていた通りであるが、一部で組込用に仕様が変更されている。
 具体的にはメモリーのECC対応・10GbE x2といったあたりで、Zenファミリー登場当初からRyzen Embedded・Epyc EmbeddedではCOM Express仕様を強く意識した仕様となっている。

 まず、DDR5メモリーのECC対応は以前のモデルからRyzen APUではECC非対応・Ryzen EmbeddedではECC対応で一貫している。ちなみに4chとされているのはDDR5では1DIMMモジュールでx32×2chとなるからで、特におかしな仕様ではない。

 10GbE対応という点は意外に思われるかもしれないず、ネット上でも混乱が見られるが、過去のRyzen Embedded・Epyc Embeddedでも10GBASE-KRに対応製品があり、COM Express対応のためにも重要な機能である。
 10GBASE-KRはPCB(基板)上でのバックプレーン接続を目的としたEthernet規格で、RJ-45などのコネクターで外部と接続することを目的としていない。組込では例えばCiscoなどのエンタープライズ/データセンター用Ethernet Switchなどでスイッチチップー管理用CPUとの接続に用いられる。

 個人的に気になるのは、Ryzen EmbeddedよりもむしろZen世代のように2 chiplet構成でEpyc Embeddedが展開されるか否かの方である。
 COM Express Type-7では10GBASE-KR x 4が含まれており、Zen/Zen+ではZeppelin chipletに10GBASE-KR MACが2基搭載され、Zeppelin x 2の構成で10GBASE-KR x 4を実現していた。しかし、Zen2世代ではRyzen APU "Renoir"がRyzen Embedded V2000として投入されたもののEpyc Embeddedは投入されなかった。"Renoir"ではCOM Express Type-7を満たすにはI/Oが明らかに不足しており、例えば10GBASE-KRに限って言えばPCIe接続でコントローラーを追加することも可能だが、それではPCIeレーン数の規定を満たせないため当然とも言える。
 その点、"Rembrandt"の仕様であれば2 chiplet構成にできればCOM Express Type-7の仕様を満たせそうに見える。ただし、過去のAMD APU製品は複数chiplet構成をサポートしてこなかったため、その辺りがポイントとなりそうだ。


COM Express TypesAMD Embedded Processor family
Zen/Zen+Zen2Zen3
TypeCOM Express
Type-6
COM Express
Type-7
Ryzen Embedded
V1000
Epyc Embedded
3000
Ryzen Embedded
V2000
Ryzen Embedded
V3000
DRAM-DDR4-3200 ECCDDR4-3200 ECCDDR4-3200 ECCDDR5-4800 ECC
PCIe lanes2432upto 16 lanesupto 32upto 20upto 20
SATA42upto 2upto 82?
10GbE04upto 2upto 4-upto 2
1GbE11upto 2upto 4(?)-?
USB4.0-----4
USB3.1 Gen2----4
USB3.0/3.1 Gen14444
USB2.0841(?)044?
VideoLVDS A&B0LVDS0DP-Alt, LVDS?

ところでウワサの出所によると「two 10G ethernet PHYs」という記載になっているようだが、厳密にどういう意味なのか判然としない。

 まず、昨今のEthernetでいう「PHY」とは昔からあるOSI参照モデルでの物理層=PHYという意味と、MACコントローラーチップから物理層に接続するシリアルインターフェース(OSI参照モデルでいうとデータリンク層と物理層の間のインターフェース)のSerDes PHYの2種類がある。
 例えば上述の10GBASE-KRはOSI参照モデルでいう物理層は実装されないが、SerDesのPHYは搭載されシリアル信号でバックプレーン接続されるし、昨今のPCIeやAMD Infinity FabricなどはEthernet/InfiniBandで培われたSerDesを応用しているから(参考)、昨今のマイクロプロセッサーはEthernet MAC層の搭載数や搭載有無に関わらず膨大な量のEthernet PHY(SerDes)を搭載している。例えばZen/Zen+ Zeppelin chipletは上述の通り10GBASE-KR MAC層を2基搭載していたが、搭載されていたSynopsys Enterprise 12G Ethernet PHYは計32レーンである。

 筆者が想像するに「two 10G ethernet PHYs」のPHYとはシリアルインターフェースのSerDesのことと推測する。
 そもそも、組込SoCで管理用の低速Ethernet(例:1000BASE-T)を除きMAC層と物理層を統合することは好ましくない。上述の通り10GBASE-KRのように物理層を実装しない規格もあるし銅撚線ケーブルを使った10GBASE-Tや光ファイバーを使った10GBASE-ERなどで物理層が異なるため、組込SoCの用途を制限してしまうからである。

Comment

MicrosoftがWindows 11を発表

2021-06-26 | 興味深かった話題

Windows 11発表。年内提供予定でWindows 10からは無償アップグレード - PC Watch

※本稿は6月24日に公開した記事に加筆・修正を行ったものです

概要

 MicrosoftがWindows 10 21H2あるいはコードネーム"Sun Valley"として開発してきたWindowsが、2015年に発表されていた「Windows 10が最後のメジャーバージョン」という前言を撤回して「Windows 11」となることが発表されたのは各メディアが報じた通り。

  • 21H2 "Sun Valley"と呼ばれてきた新バージョンWindowsはWindows 11に
  • Windows 10から無償アップグレード可能
  • ハードウェア要件が変更
  • 6カ月毎の機能アップデートは1年毎に変更(参考

アップデート方式

 個人的に最も気に入らないのが年1回への機能アップデートの変更だ。
 MicrosoftはWindows 10のリリースでAgile型の開発とWindows-as-a-Serviceというコンセプトを導入したが、後退したように見える。

 ネットを見ていると6カ月毎から1年毎への変更は好意的な感想が多いが、それは、そもそも現行のMicrosoftのやり方が悪いからであって6カ月毎の変更が悪いわけではない。
 例えばGoogle Chromeは現在約6週間毎でアップデートされており、さらに約4週刊毎に加速する計画を発表しているし、Linux Kernelは2~3カ月(約10週間)で新バージョンをリリースし続けているし、Ubuntuは6カ月毎のリリースを2004年10月から続けているが、これらのプロジェクトが開発サイクルで批難の対象となったことは無い。Chrome・Linux Kernel・Ubuntu・Windowsはそれぞれ異なる背景や機能やプロジェクト規模を持つから、一概にN週間が適切と言うつもりは無いが、1年間という期間が妥当でない/後退であることは間違い無い。

 そもそもAgile型の開発は一言で言えば「カイゼン」である。
 例えば20世紀に主流だったWaterfall型に代表される古典的な開発方式での教訓(製品開発に長期間を要し、完成した製品がユーザーの希望から大きく乖離している)を踏まえ、実装→テスト→リリース→フィードバック(計画、要求分析)のサイクルを短く(例:1~4週間)とり、それを反復することで開発の失敗を防ぐことを目的としている。より厳密に言えば開発した一部の新機能が失敗することはあるのかもしれないが、数週間の短期間で失敗を検出して軌道修正することができ、プロジェクト全体としての失敗を防止できる。

 MicrosoftはAgile型開発に移行しInsider Previewをほぼ毎週リリースしている(ということになっている)が、どうもWaterfall型開発的な考え方から抜け出せていない感じがする。というのも、Microsoftは2019年末頃から極秘裏に内部でWindows 11を開発し続けており(※)、6月24日現在で最新のInsider PreviewはWindows 11のプレビュー版ではないことが明らかになったからだ。Windows Vista/8/8.1の時もそうだったが、Microsoftが「改良」と考え年単位を費やして開発し満を持してリリースした機能やUIがユーザーから批難の大合唱で迎えられたことは一度や二度ではない。

※2019年12月に、後に"Sun Valley"・Windows 11と呼ばれる開発ブランチ(build 19536~)がWindows 10(Windows 10 19H2 build 18363~20H1 build 19043)から分岐して独立して開発されてきたことが確認されている

ハードウェア要件

 各種記事を読んでみて思ったのは単にWindows 11の見た目の違いだけでなく、ハードウェア要件の変更によるものではないかと思う。
 64-bit CPU・メモリー4 GB以上・ストレージ64GB以上といった、5年前のPCでも満たしているような内容はともかく、DirectX 12対応GPUとTPM2.0という点が意外に大きい。

 まずDirectXだが、Windows 10をインストールするとDirectX 12がインストールされるが、Windows 10の要件そのものはDirectX 9.0で、この要件は2006年登場のWindows Vistaから変更されていなかった。

3.4.2 Graphics
Devices that run Windows 10 for desktop editions must include a GPU that supports DirectX 9 or later.

 Haswell/Apollo Lake以前の世代のiGPUはDirectX 10までの対応のためWindows 11非対応となる。Haswellは2013年の登場だから、現役のCore系CPU搭載PCの多くはそれ以降のものだろうが、Apollo Lakeは2016年の登場だからそれ以前(~2015年)のBay Trail Pentium J2xx0/Pentium N35xx/Celeron J1xx0/Celeron N28xxシリーズ搭載機もWindows 11非対応となる。

 これまでの半期毎のWindows 10のアップグレードでも対応機種は微妙に変化しており、非対応機種のサポートが順次打ち切られてはいたが、今回のアップグレードでは相当数がサポート対象外となるのではないかと思う。

 次にTPMだが、TPMの概要は他誌Wikipediaを御覧頂くとして、上述のCPU/iGPUの対応/非対応とは違いTPM2.0搭載/非搭載というのが明確でないのが悩ましい。TPMは外付の小型のチップとファームウェアで提供されBIOS/UEFIでON/OFFされるため、CPUなどを見ただけでは対応状況が判別できない。ただし、TPM2.0規格が発表されたのが2014年のことなので2015年頃のPCの対応状況は怪しいとして、2014年以前のPCは全て非対応と考えた方が良さそうだ。

 以上を纏めると、2015年以降のPCの多くはWindows 11対応と思われる(ただし確認は必要)が、2014年以前のPCは全て切り捨てられるということになりそうだ。

Comment

最近の気になった話題(2021年第24週)

2021-06-20 | 興味深かった話題

IBMが契約不履行でGlobalFoundries提訴を準備中

IBMがプロセス開発の“契約不履行”でGFに賠償請求か - EETimes
IBMが契約不履行でGF提訴の準備、先鋭化する先端プロセスでの各社の動向 - マイナビ

 先週の報道になるが、IBMがGlobalFoundriesを契約不履行で提訴を準備中なのだという。果たして司法がどう判断するのかはともかく、GlobalFoundriesの7nmプロセス開発の無期限凍結が発表されたのが2018年09月ということを考えると傍から見ればいささか遅いようにも思える。

  両社の契約内容は詳細不明であるし実態との齟齬やIBMの狙いは今後の経過で明らかとなる可能性があるが、これまで公開されている情報を基に筆者が想像するにはIBMは2014年にIBM-GlobalFoundries間で締結された10年間有効な契約について、契約の無効化(契約不履行で提訴→調停→違約金無しで契約の無効化)と投資した資金の一部の回収を狙っているのではと思う。

まずは今後の予定を含む経緯を時系列で考えてみる(スペースの都合上この節のみGlobalFoundriesをGFと記載)。

2014年04月 GFが14nmプロセス開発をスキップし、Samsung 14nmプロセスの導入を発表(参考
  • 開発中の14XMを中止しSamsung 14nmプロセス技術14LPE/14LPPを導入
2014年10月 GF・IBMの半導体製造部門を吸収合併を発表(参考
  • IBMがGFに15億ドルの現金を支払う
  • GFが以後10年間に渡りIBMのプロセッサを排他的に製造する権利を得る(※22nm/14nm/10nmプロセスのみ?)
  • 米NYのIBM Fab(現GF Fab9・Fab10)を取得
2017年07月 GFが10nmプロセス開発をスキップし、直接7nmプロセスへの移行を発表(参考
  • 以後、7nm FinFETとFD-SOI(22nm~)に注力
  • 2018年に第1世代7nm量産開始・2019年に第2世代7nm量産開始を予定(当時)
2018年04月 GFが14HPプロセスを発表(参考
  • 14LPE/14LPPと異なりIBM SOI技術をGFのプロセス技術に組み合わせたプロセス
2018年09月 GFが7nmプロセスの無期延期を発表(参考
  • 以後、14/12nm FinFETおよびFD-SOIに注力
  • 翌日、GFの最大顧客のAMDが7nm世代でのTSMCへの移行を発表。2019年のZen2よりTSMCで製造
2018H2 TSMCが7nmノードを初めて実用化
  • 最初の顧客=AppleがiPhoneに搭載のA12でTSMC N7を最初に市場投入
  • 試作・リスクプロダクションは2016年6月以降に順次開始していた
  • Samsungも7nmプロセスを2018年中に量産開始
2019年04月 GFが蘭ON SemiconductorにFab 10を売却(参考
  • 旧IBM Fabを4.3億ドルで売却
2020年02月 IBMがz15を発表(参考
  • 前世代=z14プロセッサと同じGF 14HPプロセス
2020年08月 IBMがPOWER10を発表(参考
  • 2021Q4より出荷開始
  • Samsung 7nm FinFETプロセスノードを採用
2020H2 TSMCが5nmノードを初めて実用化
  • 最初の顧客=AppleがiPhoneに搭載のA14でTSMC N5を最初に市場投入

 EETimesの記事では「GLOBALFOUNDRIESはIBMから支払われた15億米ドルと、追加の数十億米ドルも投じてIBMから譲渡された製造設備の一部を改修し、14nmプロセスを開発し、(GLOBALFOUNDRIESによればIBMが満足するように)14nm製品を生産し、7nmプロセスの開発に着手した」とし、GlobalFoundriesの法務担当が「われわれは義務を果たした」という主張が掲載されているが、14nmプロセス(14HP)こそ開発されたものの続く10nm・7nmはキャンセルされた。

 14nmプロセスについては義務を果たし、7nmプロセスに関する言い分も分らなくも無いが、2014年にIBM-GlobalFoundries間で締結された契約に照らし合わせて10nm/7nmの計画を放棄したことが義務を果たしたことになるのか。

 IBMは2020年02月にz15を発表しているが、2014年の契約の都合で時代遅れの14nm FinFETしか使えていない。1年半も前にTSMCやSamsungは7nmノード世代採用製品の量産品を市場に投入しており、IBMと同じく製品の製造をGlobalFoundriesに委託していたAMDは2019年のZen 2プロセッサーからTSMC N7に切り替えているにも関わらずである。
 2014年の契約にある、GlobalFoundriesが以後10年間に渡りIBMのプロセッサを排他的に製造する権利の範囲が22nm/14nm/10nmプロセスのみなのか、2024年までの10年間ノードを問わず適用されるのかについてはメディアにより書き方が異なるため不明瞭だが、z15の経緯を考えると10nmより微細なプロセスでも影響があるようにも推定できる。そこから考えると2021Q4から出荷予定のPOWER10は上記の契約違反となる可能性がある。

 上記を踏まえると、IBM視点では(1)投資がIBMのために有効に使われず、さらに(2)2021Q4に投入される製品を守るためには2014年の契約の範囲を明確にする必要がありそうに思える。2021Q2現在の段階で提訴の話が出てきた動機の一つはPOWER 10で採用するSamsung 7nmが2014年の契約の対象外であることをGlobalFoundriesから言質を取ることなのかもしれない。
 また、GlobalFoundriesは7nmプロセス開発を放棄してから経済状況が好転していることもチャンスに見える。同社は黒字化しており2021H2~2022H1でのIPOを計画している。GlobalFoundriesとしてはIPOのためにも早急に問題を解決したいはずで、加えて仮にIBMの訴える25億ドルの損害が認められても支払う能力がある。

Comment

HiFiMan HE-560 + iBasso DC04

2021-06-19 | オーディオ

 HiFiMan HE560iBasso DC04を導入したので、備忘録を兼ねて記録しておきたい。

動機

 そもそものきっかけは、HE560を比較的安価で入手する機会に恵まれたので勢いで入手してみたものの、組み合わせる適当なDAC/ヘッドフォンアンプが無いことに気が付いたのが事の発端である。
 結論から言えば、本格的なDAC/ヘッドフォンアンプは後日入手することとして当面はiBasso DC04を組み合わせることとした。

 HiFiManのヘッドフォンにDC04のようなUSBドングル型DACアンプという構成は珍妙に思われることだろう。
 HiFiManのヘッドフォンは一般に高音質だが感度が良くなくパワフルな据置型DAC/ヘッドフォンアンプが良くマッチする。実際、ネットでよく進められているのも独LakePeopleのサブブランドViolectricのヘッドフォンアンプである。LakePeopleはLexiconなどと並び欧州のレコーディングスタジオなどで採用されているプロからの評価の高いアンプのブランドである。もちろんViolectric製に拘る必要は無いが、後述する通りインピーダンスが高く感度が低く「鳴り」難いため、そういったチョイスになるのは妥当と言える。
 それにも関わらず筆者がHE560+DC04という構成になったのは、私的な事情による予算や設置スペースの制約からだが、そういう縛りで構成を考えてみるのも面白いと思ったからでもある。

 結果から言えばHE560+DC04という組み合わせは意外なほどに優秀であった。

HiFiMan HE560

 そもそもHE560を購入した動機としては、もともと筆者はSTAXの静電型ヘッドフォンを実家で愛用しており、一般的なダイナミック型以外を採用したヘッドフォンには興味があったためである。ちなみにSTAXは上記の私的な事情により実家に送還してしまってある。比較レビューもしたいところだが手元に無いので後日の宿題としたい。

 HiFiManの採用する平面磁界駆動型とSTAXの採用する静電型とは似て非なるものだが、詳細なメカニズムの解説は他誌(参考)に譲るとして、薄い膜のような平面のダイアフラムを磁気で振動させることで発音するという点が共通である。逆に最大の違いは平面磁界駆動型は専用のヘッドフォンアンプを必要としない点である。静電型はダイアフラムに高電圧を加える必要があるため専用のコネクターやケーブル(5ピン=バランス +/- × 2ch+バイアス電圧用)を必要とするが、平面磁界駆動型は一般的なコネクターやケーブルを使用できる。
 この専用のヘッドフォンアンプを必要としないという特徴は昨今の事情を鑑みれば非常に大きなメリットがある。というのも、ここ5~10年ほど巷ではハイレゾ音源だのと言ってCD音質(Linear PCM 16-bit / 44.1 kHz)を遥かに超える音源や再生装置が出回っており、それに伴い再生装置も目覚ましい進歩を遂げているからで、DAC/アンプをメーカーや機種を問わず取り換えて組み合わせられることは便利だ。
 アンプなどのアナログ回路が大きく進化を遂げたかどうかは解かり難いが、DAC等のデジタル回路は最近の10年でも単に対応フォーマットが拡張されただけでなくS/N比・THD+Nなどが大きく改善していることが解る。

 ここで、DAC/ヘッドフォンアンプ=DC04の説明に入る前に、ヘッドフォン=HE560に最適なアンプの条件を考えてみたい。
※下記はカタログスペック的な内容で、カタログスペックに現れないDACやヘッドフォンアンプの作りが最重要であることは言うまでもない。その前提の下で平面磁界駆動型ならではの要素を考える場合の話である。

 まず、平面磁界駆動型の仕組からして、バランス接続が好ましい。
 これは一般的なダイナミック型では基本的にプッシュでしかダイアフラムを制御しないが、平面磁界駆動型や静電型はプッシュとプルでダイアフラムを制御するからで、ダイナミック型と比べバランス接続の恩恵を受け易いはずである。
 ヘッドフォン接続におけるバランス接続というのは左右の各チャンネルで+と-/GNDとが分離されていることを指す(2チャンネルで計4端子。アンプなどで使われる+/-/GNDが分離された2チャンネルで計6端子のバランス接続とは異なる)。一般的な3.5mmや6.35mmのアンバランス接続の場合は計3端子(TRS)で、左右の各チャンネルで+はチャンネル毎に独立しているが-/GNDは共通になる。-/GNDを左右のチャンネルで分離することはノイズの低減にダイナミック型よりも平面磁界駆動型や静電型で恩恵を受け易い。

 また、ヘッドフォンアンプの出力電圧も重要である。
 一般に平面磁界駆動型は効率=感度が悪く鳴らし難いことで知られるがHE560も例外ではなく、一般的なヘッドフォンの感度が100 dB/mWを超える製品が多い中でHE560は90 dB/mWである。
 単に音を出すだけであれば出力の小さいヘッドフォンアンプでもボリュームを上げれば音が出ないことは無いが、ヘッドフォンが「鳴る」感じはしない。そもそもアンプは大音量を出し続けるようには設計されていないから、そのアンプの標準的な音量でスピーカー/ヘッドフォンを駆動できるようなアンプとスピーカー/ヘッドフォンとを組み合わせることが望ましい。

iBasso DC04

 DC04は、その外観からは想像できないほどHiFiManのヘッドフォンに適したDACだと思う。サイズの割にDAC/ヘッドフォンアンプの性能が優れている上に、上述のバランス出力と高出力レベルを実現しているからだ。高出力を極めて優れたS/N比・高解像度で実現している。

 DC04はちょうどiBasso製DAPであるDX160のオーディオ部分を抜き出したような構成をしている。DX160の場合はRockChip製プロセッサーのAndroid端末をホストにオーディオ機能が搭載されているが、同じオーディオ機能をPCやスマートフォンで使えるように切り出したのがDC03/DC04と言える。USBホストとの接続には中Savitech SA9227Aが用いられ、そこに米Cirrus Logic製CS43131を左右チャンネル独立で2基搭載されている。
 尚、DC03/DC04の構成はほぼ同じで、外観上ではDC03はアンバランス接続3.5mmミニジャック、DC04はバランス接続4.4mmジャックという点が異なり、DC04の方が出力が大きい点が異なる。

 昨今のハイエンドDACといえば米ESS Technology製Sabreシリーズや日AsahiKasei Microelectronics(AKM)製Velvet Soundシリーズが有名だが、USBドングルタイプのDAC/ヘッドフォンアンプではCirrus Logic製DAC搭載製品が増えている。韓iRiverのサブブランドAstell&KernもPEE51というCS43198搭載のUSBドングルタイプのDAC/ヘッドフォンアンプを投入したが、CS43198にヘッドフォンアンプ機能を統合したのがCS43131で、CS43198とCS43131のスペックシート上の機能・性能は上述のアンプ以外は同等である。
 PEE51を手にしたことが無いためDC04との比較はできないが、HE560との組み合わせという条件では上述のバランス接続と大出力で分がある。
 この種の製品では外付で米Texas Instruments/Burr Brown製ヘッドフォンアンプが搭載されている製品が多いが、上述の通り本製品ではCS43198に統合されている。上記のUSBインターフェースのSavitech SA9227Aと合わせ僅か3チップという極めてシンプルな構成となっている。

 PC用のチップなどでは同じ機能・性能であればチップが統合され数が少ない方が好まれる(電力効率が良い・省スペース・コストが安いなどのメリットがある)が、オーディオでは一般にノイズを避けるため分離される方が好まれる傾向がある(例:DACの左右チャンネルの分離やDACとアンプなど)。しかし、DC04に限って言えば非常に高いS/N比(公称133 dB)を実現しておりDACやアンプが統合されていることは問題となっていないように見える。

 iBasso DC03/DC04は上記のような同等の構成を採用しているが、DC03が3.5mm 3極ジャックのアンバランス接続・DC04が4.4mm 5極ジャックのバランス接続となっており、後者の方が出力も大きく設定されている。
 一般的なポータブルDACではせいぜい32Ωのインピーダンスの場合に2Vrms前後だが、DC04は32Ωで2.5Vrms・300Ωで4.0VrmsというポータブルDACとしては強力な駆動力を誇り、HE560のようなインピーダンスが高く感度が低いヘッドフォンでも余裕をもって駆動できる。

 HE560とDC04と組み合わせる場合に注意が必要なのはケーブルである。HE560に標準添付のケーブルは3.5mm 3極のアンバランスケーブルなのでリケーブルの必要性があるためだ。
 問題はこのケーブルの入手性で、一般にバランス接続と言えば据置型のアンプではXLRが一般的だがポータブル機器では業界標準が定まっておらず2.5mm 4極と4.4mm 5極が混在しておりケーブルも品揃えが良くない。
 この種の製品はポータブルプレイヤー・DAC/アンプ・ヘッドフォンも中国メーカーが手広く展開しているのでAliExpressなどで見つけることができる。

総評

 今回はHiFiMan HE560とUSBドングル型DAC/ヘッドフォンアンプという縛りでiBasso DC04を取り上げたが、この組み合わせは意外に使えそうに思う。

 もちろん性能・音質から言えばViolectric製DAC/アンプのような高性能な据置型のDAC/アンプとの組み合わせの方がHE560の性能を引き出せるのだろうが、DC04のUSB-Cケーブルを除くと約40mm x 20mm x 15mmで僅か12gの重量という超小型の筐体でそこそこに鳴らせるというのが大きな驚きであった。
 これだけ小さければHE560の用途の自由度を広げることができるのではと思う。何より、HE560(約$900)やViolectric製DAC/アンプ(約$2,500前後)からすれば誤差の範囲内の出費(約$60)でそれが可能になるというのが大きい。

 HE560+据置型のDAC/アンプの組み合わせでは使う場所を選び、例えば自宅内の自室など半径1m程度の決まった場所で使うことになるだろうが、HE560+DC04の組み合わせでは、その枷から解き放ち外に持ち出すことが可能になる。
 HE560自体が巨大だしオープン型で音漏れするため、外出先(例:通勤電車の中)で使いたいかといえば疑問だが、自宅内での移動(例:自室からリビングや庭先への持ち出し)はもちろん、自動車に詰んで旅行先に持って行ったりという用途は想定できることだろう。DC04は外部電源を必要とせず消費電力も低いからスマートフォンだけで駆動できるのもメリットといえる。

Comment

最近の気になった話題(2021年第22-23週)

2021-06-12 | 興味深かった話題

IntelはSiFiveを買収するのか?

Chipmaker SiFive Is Said to Draw Intel Takeover Interest - Bloomberg

 幾つかのメディアBloombergの報道を引用して報じているが、IntelがSiFiveに対し20億ドルでの買収を提案中なのだという。CPU ISAの覇権争い(RISC vs CISCやx86 vs Arm)が連想され「ライバル潰し」のように受け取られそうだが、個人的には単純に企業のM&A案件としては悪くないアイデアのように思う。

 IntelはArmの最大級のライセンシーの1社であり(恐らくSSDやネットワークのコントローラーに用いられていると推測されている)同様にArmの大口ライセンシーのWestern DigitalやSeagateはRISC-Vへの移行によるライセンス費用の低減を模索しているし、Intelがファウンドリー構想"IDM 2.0"を真剣に考えているならばIntelファウンドリーに最適化されたIPの品数を揃えることは悪いアイデアではない。なにせIntelファウンドリーはユーザーがほぼ皆無でSynopsysやCadenceといったEDAベンダーもIntelファウンドリー用のIPの開発には消極的なのでIntelが代わりにある程度揃えることは理に適っている。
 また、IntelのCPU以外の製品群とのシナジーも考えられ、旧AlteraのFPGA製品だとSiFiveのCPUコアを統合したFPGA製品やAltera FPGAで使えるソフトIP、NPU製品ではMobilEye製品のSoCへの統合(現行EyeQ5ではMIPSを採用)やMovidius製品のVisionプロセッサーへの統合(現行Myriad XではSPARCv5系のLEONを採用)なども考えられる。

 ProductCPU CoreArchitecture
Intel (Altera)AgilexArm Cortex-A53Armv8-A
MobilEyeEyeQ5MIPS I6500-FMIPS64 Release 6
EyeQ6Intel Atom ?Intel x86-64 ?
MovidiusMyriad XCobham Gaisler LEONSPARCv5

 実際のところ、SiFiveはRISC-VアーキテクチャーCPU IPをリードしている会社として知られるが、ArmでいうCortex-R系・Cortex-M系に競合しており、サーバーやスマートフォン用CPUというよりはSSD/ネットワーク用コントローラーや車載半導体での採用が多いように思われるから、Intelから見てもライバルとは言い難く、かつてIntelが擁していたXScale/StrongARMに相当する役割を担うのではと思う。

 疑問は買収費用で、報道では20億ドルとされているが企業価値5億ドルと見積もられているスタートアップに対する金額としては高過ぎるように思う。

AMDが3D V-Cacheを発表

COMPUTEXで発表した積層技術3D V-Cacheは性能向上と歩留まりを改善する新兵器 AMD CPUロードマップ - ASCII

 AMDは今年後半に採用製品を投入するようだが、筆者が思うに本命はEpyc・Threadripperだろうと思う。その理由はAMD ZenファミリーCPUのL3キャッシュの扱いにある。V-Cacheの技術的な概要は他誌に任せるとして(参考:ASCII大原氏の記事)、本稿では違った角度から見ていきたい。

 Zen2/Zen3のキャッシュ/メモリー周りの構造は意外に複雑である。
 Intel Core/Xeonであればコアに不随してL1~L3キャッシュが搭載されており各コアやメモリーコントローラーはCPU等速のリングバスでほぼフラットに接続され、他のコアに付随するL3キャッシュへのアクセスでもメモリーアクセスでもレイテンシーはほぼフラットである(※AMD Zenファミリーに比べればレイテンシーのばらつきは無視できるレベルである)。例えばXeon Scalable "Cascade Lake"は最大28コアでL2キャッシュ24 MB・L3キャッシュ33 MBだが、この計57 MBのキャッシュは全28コアからほぼ等速にアクセスできるL2・L3キャッシュ容量である。
 これに対しAMDはチップレット戦略を採っているためにフラットに接続されておらず、キャッシュやメモリーのレイテンシーはばらつきがある。CPUと同じCCXに付随するL2・L3キャッシュに対しては高速にアクセスできるが、他のCCXに付随するL2・L3キャッシュはメモリーとほぼ等速のInfinity Fabric経由でI/O Dieを経由してアクセスするためレイテンシーは非常に長い。そのため、Zen3世代Epyc "Milan"の場合では1 CPUで最大64コアで260 MBものL2/L3キャッシュをもっているが、高速にアクセスできるのはCCXに付随するL2キャッシュ512 KB×コア数・L3キャッシュ32 MBだけで残りの227.5 MB226 MBへのアクセスはメモリーへのアクセスとほぼ同等である。
 実際、AnandTechの分析記事を見ると、Intel Xeonでは同一ソケットのどのコアに対してであっても44~48 nsecほどでアクセスできているにも関わらず、AMD Epycでは付随するL2/L3キャッシュへのアクセスは高速(約~30 nsec)だが、他のCCXへのアクセスは約90~120 nsecほども要している。DRAMへのアクセスはDDR4-3200で110~130 nsec程度だから他のCCXのL3キャッシュにアクセスするのとDRAMにアクセスするのとでレイテンシーはほとんど変わらない。

 つまり、メーカーの謳い文句だけを見ればL2+L3キャッシュの総容量はIntel Xeon "Cascade Lake"では57 MBに対しAMD Epyc "Milan"では260 MBだが、CPUコアからのアクセス速度を加味し高速にアクセス可能なキャッシュという観点ではIntel Xeonでは57 MBに対しAMD Epycでは32.5 MBでしかない。3D V-Cacheはこの状況を逆転できる。

Comment