徒然なるままに

Mail: topography "AT" mail.goo.ne.jp

プロセッサ:パワーマネジメント系研究文献リンク

2006-02-20 | SuperComputer
COOL Chips
 http://www.coolchips.org/


"Power reduction techniques for microprocessor systems",
 Vasanth Venkatachalam and Michael Franz, University of California, Irvine, CA,
 ACM Computing Surveys, Volume 37, Issue 3 (September 2005).
 http://portal.acm.org/citation.cfm?id=1108956.1108957&coll=GUIDE&dl=GUIDE&idx=1108956&part=periodical&WantType=periodical&title=ACM%20Computing%20Surveys%20%28CSUR%29&CFID=69191099&CFTOKEN=60477397


"Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors",
 David M. Brooks, et al., IBM T.J. Watson Research Center,
 IEEE micro, Vol. 20, No. 6 (November/December 2000).
 http://doi.ieeecomputersociety.org/10.1109/40.888701

"Power: A First-Class Architectural Design Constraint",
 Trevor Mudge, University of Michigan
 IEEE Computer, Vol. 34, No. 4, (April 2001).
 http://doi.ieeecomputersociety.org/10.1109/2.917539


"Wattch: A Framework for Architectural-Level Power Analysis and Optimizations",
 Margaret Martonosi, Vivek Tiwari and David Brooks.
 27th Annual International Symposium on Computer Architecture (ISCA '00).
 http://doi.ieeecomputersociety.org/10.1109/ISCA.2000.854380


"Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data",
 Canturk Isci and Margaret Martonosi, Princeton University,
 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-36), 2003
 http://doi.ieeecomputersociety.org/10.1109/MICRO.2003.1253186

"Run-time modeling and estimation of operating system power consumption",
 Tao Li and Lizy Kurian John, University of Texas at Austin, Austin, TX,
 ACM SIGMETRICS Performance Evaluation Review, Volume 31, Issue 1 (June 2003).
 http://portal.acm.org/citation.cfm?id=885651.781048&coll=ACM&dl=ACM&idx=885651&part=periodical&WantType=periodical&title=ACM%20SIGMETRICS%20Performance%20Evaluation%20Review&CFID=69245078&CFTOKEN=70172711


"Temperature-Aware Computer Systems: Opportunities and Challenges",
 Kevin Skadron, et al., University of Virginia,
 IEEE micro, Vol. 23, No. 6 (November/December 2003).
 http://doi.ieeecomputersociety.org/10.1109/MM.2003.1261387


"Microarchitectural Simulation and Control of di/dt-induced Power Supply Voltage Variation",
 Ed Grochowski, Dave Ayers and Vivek Tiwari, Intel Corporation,
 Eighth International Symposium on High-Performance Computer Architecture (HPCA'02)
 http://doi.ieeecomputersociety.org/10.1109/HPCA.2002.995694

"Control Techniques to Eliminate Voltage Emergencies in High Performance Processors",
 Russ Joseph and David Brooks and Margaret Martonosi,
 The Ninth International Symposium on High-Performance Computer Architecture (HPCA'03)
 http://doi.ieeecomputersociety.org/10.1109/HPCA.2003.1183526


"Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power",
 Stefanos Kaxiras, Zhigang Hu and Margaret Martonosi,
 28th Annual International Symposium on Computer Architecture (ISCA'01)
 http://doi.ieeecomputersociety.org/10.1109/ISCA.2001.937453

"Leakage Current: Moore's Law Meets Static Power",
 Nam Sung Kim, et al.,
 IEEE Computer, Vol. 36, No. 12 (December 2003).
 http://doi.ieeecomputersociety.org/10.1109/MC.2003.1250885


"Managing Static Leakage Energy in Microprocessor Functional Units",
 Steven Dropsho, et al., University of Rochester,
 35th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-35), 2002.
 http://doi.ieeecomputersociety.org/10.1109/MICRO.2002.1176260


"Code Transformations for Energy-Efficient Device Management",
 Taliver Heath, et al.,
 IEEE Transactions on Computers, Volume 53, Number 8 (August 2004).
 http://doi.ieeecomputersociety.org/10.1109/TC.2004.38

"The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction",
 Chung-Hsing Hsu and Ulrich Kremer, Rutgers, The State University of New Jersey,
 ACM SIGPLAN 2003 conference on Programming language design and implementation, 2003.
 http://portal.acm.org/citation.cfm?id=781131.781137&coll=ACM&dl=ACM&type=series&idx=781131&part=series&WantType=series&title=Conference%20on%20Programming%20Language%20Design%20and%20Implementation&CFID=69248628&CFTOKEN=39478242


"Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling",
 Greg Semeraro, University of Rochester, et al.,
 Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), 2002.
 http://doi.ieeecomputersociety.org/10.1109/HPCA.2002.995696

"Formal online methods for voltage/frequency control in multiple clock domain microprocessors",
 Qiang Wu, Princeton University, Princeton, NJ, et al.,
 11th international conference on Architectural support for programming languages and operating systems (ASPLOS-XI), 2004.
 http://portal.acm.org/citation.cfm?id=1024393.1024423&coll=portal&dl=ACM&type=series&idx=1024393&part=Proceedings&WantType=Proceedings&title=Architectural%20Support%20for%20Programming%20Languages%20and%20Operating%20Systems&CFID=69248628&CFTOKEN=39478242


"Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction",
 Rakesh Kumar, et al.,
 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-36), 2003.
 http://doi.ieeecomputersociety.org/10.1109/MICRO.2003.1253185

"Performance, Energy, and Thermal Considerations for SMT and CMP Architectures",
 Yingmin Li, et al.,
 11th International Symposium on High-Performance Computer Architecture (HPCA'05), 2005.
 http://doi.ieeecomputersociety.org/10.1109/HPCA.2005.25


"Improved Clock-Gating through Transparent Pipelining",
 Hans M. Jacobson, IBM T.J. Watson Research Center, Yorktown, NY,
 2004 International Symposium on Low Power Electronics and Design (ISLPED'04), 2004.
 http://doi.ieeecomputersociety.org/10.1109/LPE.2004.43

"Microarchitectural Techniques for Power Gating of Execution Units",
 Zhigang Hu, IBM T. J. Watson Research Center, et al.,
 2004 International Symposium on Low Power Electronics and Design (ISLPED'04), 2004.
 http://doi.ieeecomputersociety.org/10.1109/LPE.2004.58


"Reducing Power Density through Activity Migration",
 Seongmoo Heo, Ken Barr and Krste Asanovic,
 International Symposium on Low Power Electronics and Design (ISLPED), 2003.
 http://www.cag.lcs.mit.edu/scale/global/publications.html

"Heat-and-run: leveraging SMT and CMP to manage power density through the operating system",
 Mohamed Gomaa, Michael D. Powell and T. N. Vijaykumar, Purdue University, West Lafayette, IN,
 11th international conference on Architectural support for programming languages and operating systems (ASPLOS-XI), 2004.
 http://portal.acm.org/citation.cfm?id=1024393.1024424&coll=portal&dl=ACM&type=series&idx=1024393&part=Proceedings&WantType=Proceedings&title=Architectural%20Support%20for%20Programming%20Languages%20and%20Operating%20Systems&CFID=69248628&CFTOKEN=39478242
(1エントリーの文字数制限でリンク張りは出来ません)


Sarah McLachlan - World on Fire
http://www.veoh.com/videoDetails.html?v=e15334
 ビデオが再生されますので注意。
自分達に何が出来るか、考えてみましょう


最新の画像もっと見る

コメントを投稿

ブログ作成者から承認されるまでコメントは反映されません。