digikeyに頼んでいたAltera DE0が届きました。26日に頼んで届いたのは29日でした。用途の所を日本語にしたので翻訳に1日余分にかかったみたいです。
こんな箱にはいってました。
中身はこんな感じです。
出してみました。
さっそく・・・、Quartus IIをインストールしてませんでした。まずはダウンロードから。USB Blasterの機能があるのでUSBケーブルをつなぐだけでコンフィグレーションできます。USB BlasterのドライバはQuartus IIをインストールしたフォルダにあります。
Quartus IIって、System Verilogも使えるみたいです。
DVDもついてきますが、Terasicから最新版のCDイメージをダウンロードします。バージョンが1.1になっていて少しだけ新しいみたいです。
さっそく、お約束のRTLを作成しました。
Quartusって、あんまり使ったことがないせいか、よく分からないです。ピン配置って毎回pin editorで作らないといけないんでしょうか?
こんな箱にはいってました。
中身はこんな感じです。
出してみました。
さっそく・・・、Quartus IIをインストールしてませんでした。まずはダウンロードから。USB Blasterの機能があるのでUSBケーブルをつなぐだけでコンフィグレーションできます。USB BlasterのドライバはQuartus IIをインストールしたフォルダにあります。
Quartus IIって、System Verilogも使えるみたいです。
DVDもついてきますが、Terasicから最新版のCDイメージをダウンロードします。バージョンが1.1になっていて少しだけ新しいみたいです。
さっそく、お約束のRTLを作成しました。
`default_nettype none module main( output reg [9:0] LED, output reg [7:0] HEX0, output reg [7:0] HEX1, output reg [7:0] HEX2, output reg [7:0] HEX3, input rst_x, input clk); reg [20:0] ctr; reg [3:0] ctr2; wire ctr_en = ctr == 21'h0; always @(posedge clk) ctr <= ctr + 21'h1; always @(posedge clk, negedge rst_x) if(~rst_x) LED <= 10'h200; else if(ctr_en) LED <= {LED[8:0], LED[9]}; always @(posedge clk) if(ctr_en) ctr2 <= ctr2 + 4'h1; always @(posedge clk) if(ctr2[3]) begin HEX0 <= 8'b10011111; HEX1 <= 8'b10011111; HEX2 <= 8'b10011111; HEX3 <= 8'b10011111; end else begin HEX0 <= 8'b10111101; HEX1 <= 8'b10111101; HEX2 <= 8'b10111101; HEX3 <= 8'b10111101; end endmodule
Quartusって、あんまり使ったことがないせいか、よく分からないです。ピン配置って毎回pin editorで作らないといけないんでしょうか?
私もアルテラあまり知りませんが、プロジェクト名.qsfの
set_location_assignment PIN_142 -to reset
とかじゃないでしょうか?
間違ってたらごめんなさい。
http://www.hdl.co.jp/altera/qttp1/index.html
(最初は自動割り付けされたものをバックアノテートします)
このUSBは、ブラスタ専用でしょうか、PCドライバが開放されているのでしょうか。
FPGAのコンフィグができることは重要ですが、USBがそれに占有されているタイプかなと思いました。
前ご紹介のSpartan-6 LX16 評価キットは、PSOCなのでそこはありますね。その代わりFPGAコンフィグがUSBでできるのかは不明ですが。
私は、PCに動作を表示するアプリを作ることをしてみたいのでUSBはそこが気になります。
ひととおりのペリフェラルが載っているので学習用にはよさげな感じです。
探してみたらqsfファイルありました。テキストファイルなのでucfファイルのようにコピペでいけますね。
ありがとうございます。
情報ありがとうございます。これはすごい技ですね。活用させていただきますm(_ _)m
残念ながらブラスタ専用みたいです。
回路構成としてはUSB→FT245→MAX II→Cyclone IIIみたいな流れになってます。一応J9にCPLD(MAX II)のコンフィグレーション用のJTAG信号が出ているので書き換えることも可能ですが、MAX IIとCyclone IIIの間はJTAGしかつながっていないようです。
このあたりはお手軽に使えることの代償ですね。
>このあたりはお手軽に使えることの代償ですね
CycloneIIIを勉強するには良い買い物と私も思います。
FT245があるなら、PC環境は良好なので作品アプリ接続も可能でしょうが、改造本数が結構になるので現実やる人はいないでしょうね。
おもいっきり嘘を言ってたかもしれません。というのは、DE0にはDE0_ControlPanelというサンプルアプリがついていて、PCアプリからLEDを点けたり、スイッチを読んだりといったことができます(他には7セグLED出力、PS2キーボード、SDRAMの読み書き、SDカード関連、VGA出力)。それもシリアルでなくUSBをつないだ状態でです。つまり、どうやっているのかは別にして、PC側アプリと通信する何らかの手段があるみたいです。
デバイスマネージャで見てもCOMポートは増えていません。USBブラスタ経由で通信しているのかもしれません。
どうやっているのか調べてみたいと思います。
それはないでしょう
コンパイルのところでアイコンを
クリックするとそういうファイルが
あったと思いますが…
後で確認してみます。
Quartus内蔵のはpin plannerでした>pin editor。
GUIでちまちま入力してくのが面倒だったもので愚痴を吐いてしまいました。