Sim's blog

電子工作はじめてみました

Altera DE0がきました

2009-10-30 00:43:10 | FPGA
digikeyに頼んでいたAltera DE0が届きました。26日に頼んで届いたのは29日でした。用途の所を日本語にしたので翻訳に1日余分にかかったみたいです。

こんな箱にはいってました。


中身はこんな感じです。


出してみました。


さっそく・・・、Quartus IIをインストールしてませんでした。まずはダウンロードから。USB Blasterの機能があるのでUSBケーブルをつなぐだけでコンフィグレーションできます。USB BlasterのドライバはQuartus IIをインストールしたフォルダにあります。

Quartus IIって、System Verilogも使えるみたいです。

DVDもついてきますが、Terasicから最新版のCDイメージをダウンロードします。バージョンが1.1になっていて少しだけ新しいみたいです。

さっそく、お約束のRTLを作成しました。
`default_nettype none
module main(
    output reg [9:0] LED,
    output reg [7:0] HEX0,
    output reg [7:0] HEX1,
    output reg [7:0] HEX2,
    output reg [7:0] HEX3,
    input rst_x,
    input clk);

    reg [20:0] ctr;
    reg [3:0] ctr2;

    wire ctr_en = ctr == 21'h0;

    always @(posedge clk)
        ctr <= ctr + 21'h1;

    always @(posedge clk, negedge rst_x)
        if(~rst_x)
            LED <= 10'h200;
        else if(ctr_en)
            LED <= {LED[8:0], LED[9]};

    always @(posedge clk)
        if(ctr_en) ctr2 <= ctr2 + 4'h1;

    always @(posedge clk)
        if(ctr2[3]) begin
            HEX0 <= 8'b10011111;
            HEX1 <= 8'b10011111;
            HEX2 <= 8'b10011111;
            HEX3 <= 8'b10011111;
            end
        else begin
            HEX0 <= 8'b10111101;
            HEX1 <= 8'b10111101;
            HEX2 <= 8'b10111101;
            HEX3 <= 8'b10111101;
            end
endmodule

Quartusって、あんまり使ったことがないせいか、よく分からないです。ピン配置って毎回pin editorで作らないといけないんでしょうか?

最新の画像もっと見る

10 コメント

コメント日が  古い順  |   新しい順
Unknown (marsee)
2009-10-30 04:36:06
DE0良いですね。

私もアルテラあまり知りませんが、プロジェクト名.qsfの
set_location_assignment PIN_142 -to reset
とかじゃないでしょうか?
間違ってたらごめんなさい。
返信する
エクセルとやりとりするのが便利です (shirou)
2009-10-30 05:56:45
エクセルで管理するのが便利ですよ。
http://www.hdl.co.jp/altera/qttp1/index.html
(最初は自動割り付けされたものをバックアノテートします)
返信する
Unknown (nsx)
2009-10-30 19:11:40
こんにちは。
このUSBは、ブラスタ専用でしょうか、PCドライバが開放されているのでしょうか。
FPGAのコンフィグができることは重要ですが、USBがそれに占有されているタイプかなと思いました。
前ご紹介のSpartan-6 LX16 評価キットは、PSOCなのでそこはありますね。その代わりFPGAコンフィグがUSBでできるのかは不明ですが。
私は、PCに動作を表示するアプリを作ることをしてみたいのでUSBはそこが気になります。
返信する
re:Unknown (Sim)
2009-10-30 19:21:57
こんにちは、marseeさん
ひととおりのペリフェラルが載っているので学習用にはよさげな感じです。

探してみたらqsfファイルありました。テキストファイルなのでucfファイルのようにコピペでいけますね。
ありがとうございます。
返信する
re:エクセルとやりとりするのが便利です (Sim)
2009-10-30 19:23:24
こんにちは、shirouさん
情報ありがとうございます。これはすごい技ですね。活用させていただきますm(_ _)m

返信する
re:Unknown (Sim)
2009-10-30 19:31:04
こんにちは、nsxさん
残念ながらブラスタ専用みたいです。
回路構成としてはUSB→FT245→MAX II→Cyclone IIIみたいな流れになってます。一応J9にCPLD(MAX II)のコンフィグレーション用のJTAG信号が出ているので書き換えることも可能ですが、MAX IIとCyclone IIIの間はJTAGしかつながっていないようです。
このあたりはお手軽に使えることの代償ですね。
返信する
Unknown (nsx)
2009-10-31 09:00:13
なるほどブラスタ専用ですね。

>このあたりはお手軽に使えることの代償ですね
CycloneIIIを勉強するには良い買い物と私も思います。

FT245があるなら、PC環境は良好なので作品アプリ接続も可能でしょうが、改造本数が結構になるので現実やる人はいないでしょうね。
返信する
re:Unknown (Sim)
2009-10-31 14:50:23
こんにちは、nsxさん
おもいっきり嘘を言ってたかもしれません。というのは、DE0にはDE0_ControlPanelというサンプルアプリがついていて、PCアプリからLEDを点けたり、スイッチを読んだりといったことができます(他には7セグLED出力、PS2キーボード、SDRAMの読み書き、SDカード関連、VGA出力)。それもシリアルでなくUSBをつないだ状態でです。つまり、どうやっているのかは別にして、PC側アプリと通信する何らかの手段があるみたいです。
デバイスマネージャで見てもCOMポートは増えていません。USBブラスタ経由で通信しているのかもしれません。
どうやっているのか調べてみたいと思います。
返信する
pin (きぃたん)
2009-11-07 03:03:51
>ピン配置って毎回pin editorで作らないといけないんでしょうか?
それはないでしょう
コンパイルのところでアイコンを
クリックするとそういうファイルが
あったと思いますが…

後で確認してみます。
返信する
re:pin (Sim)
2009-11-07 08:19:12
こんにちは、きぃたんさん
Quartus内蔵のはpin plannerでした>pin editor。
GUIでちまちま入力してくのが面倒だったもので愚痴を吐いてしまいました。
返信する

コメントを投稿